Thursday, 2018-05-17

*** tpb has joined #yosys00:00
*** X-Scale has quit IRC00:20
*** X-Scale has joined #yosys00:29
*** emeb has quit IRC00:40
*** X-Scale has quit IRC01:10
*** X-Scale has joined #yosys01:23
*** tinyfpga has quit IRC01:50
*** digshadow has quit IRC02:15
*** leviathan has joined #yosys02:27
*** leviathan has quit IRC02:35
*** leviathan has joined #yosys02:35
*** digshadow has joined #yosys02:42
*** tinyfpga has joined #yosys02:43
*** digshadow has quit IRC03:11
*** digshadow has joined #yosys03:16
*** digshadow has quit IRC03:20
*** digshadow has joined #yosys03:20
*** oldtopman has joined #yosys03:25
*** m_w has quit IRC04:41
*** m_w has joined #yosys04:41
*** leviathan has quit IRC04:50
*** leviathanch has joined #yosys05:16
*** oldtopman has quit IRC05:49
*** leviathanch has quit IRC05:51
*** leviathan has joined #yosys05:54
*** cr1901_modern has quit IRC06:15
*** cr1901_modern has joined #yosys06:16
*** AlexDaniel has quit IRC06:18
*** proteusguy has quit IRC06:24
*** emeb_mac has quit IRC06:35
*** proteusguy has joined #yosys06:41
*** dys has joined #yosys06:50
*** promach_ has quit IRC08:07
*** promach_ has joined #yosys08:10
*** dmin7 has joined #yosys08:44
*** promach_ has quit IRC08:53
*** GuzTech has joined #yosys09:44
*** proteusguy has quit IRC09:55
*** proteusguy has joined #yosys10:11
*** pie_ has quit IRC10:40
*** cemerick_ has joined #yosys11:12
*** sklv has quit IRC11:44
*** sklv has joined #yosys11:44
*** indy has quit IRC11:44
*** indy has joined #yosys11:48
*** pie_ has joined #yosys11:55
*** SpaceCoaster has quit IRC12:31
*** promach_ has joined #yosys12:35
*** promach_ has quit IRC12:54
*** promach_ has joined #yosys12:59
*** cemerick_ has quit IRC13:02
*** indy has quit IRC14:51
*** indy has joined #yosys14:53
*** GuzTech has quit IRC15:02
*** seldridge has joined #yosys15:08
*** SpaceCoaster has joined #yosys15:18
*** SpaceCoaster has quit IRC15:25
*** SpaceCoaster has joined #yosys15:30
*** SpaceCoaster has quit IRC15:43
*** emeb has joined #yosys15:50
*** GuzTech has joined #yosys15:54
*** AlexDaniel has joined #yosys16:08
*** mazzoo has quit IRC16:20
*** dxld has quit IRC16:28
*** dxld has joined #yosys16:31
*** cemerick_ has joined #yosys16:59
*** leviathan has quit IRC17:45
*** SpaceCoaster has joined #yosys18:08
*** dys has quit IRC18:25
*** m_w has quit IRC18:43
*** dys has joined #yosys18:44
*** dys has quit IRC18:53
*** dys has joined #yosys18:56
shaprdoes yosys already have support for both Artix-7 chips in bunnies' NeTV2? https://www.crowdsupply.com/alphamax/netv219:24
tpbTitle: NeTV2 | Crowd Supply (at www.crowdsupply.com)19:24
shaprI should just check the source19:25
shaprafter digging around in yosys/techlibs/xilinx/ , I still can't tell19:26
shaprbut I think it's all Xilinx 7-series? so yes?19:27
sorearshapr: define support19:27
shaprso, not full support?19:28
shaprI dunno19:28
daveshahyes, yosys has synthesis support for the artix-719:28
daveshahthere is no PnR yet19:28
daveshahbut the bitstream format is documented in project X-ray19:28
shaproh, I see19:30
awygledaveshah: there's no synth for the ecp5 yet, right?19:32
daveshahawygle: no, not yet19:32
shaprsilly question, what's the percentage cost for each step in FPGA design? where would optimizations pay off the most?19:32
daveshahobviously on my todo list19:32
awyglesure19:32
awygleshapr: cost in terms of time? place+route, no question19:32
shaprah, that's good to know19:32
daveshahawygle: feel free to take it on if you want19:33
shaprcause that's the part I want to work on anyway19:33
awyglewell... "debugging". but that's slow because PnR is slow19:33
daveshahshapr: it's probably what needs work too19:33
awygleshapr: have you seen my list of papers on parallilizing it?19:33
awygle... imagine i spelled that right19:33
shapryeah, I'm trying not to dive too deeply into that list yet19:33
shaprcause I also have a day job19:33
shaprI really do not like simulated annealing19:33
awygledaveshah: i may just do that! have to see how other things work out.19:34
shaprfor this purpose, at least19:34
daveshahawygle: awesome19:34
awygledaveshah: speaking of trellis, what linux flavor are you running it on? i had issues getting your PoC running19:34
daveshahawygle: Arch Linux19:34
daveshahwith zsh19:34
daveshahPython 3.6.519:35
awyglehm. i was able to install diamond, but i had issues with building libtrellis and running the python bindings (solved the first, didn't solve the second)19:35
daveshahclang 6.019:35
shaprawygle: just to be clear, you mean this list? https://github.com/azonenberg/openfpga/wiki/Place-and-Route-Research19:35
tpbTitle: Place and Route Research · azonenberg/openfpga Wiki · GitHub (at github.com)19:35
awygleon ubuntu something. 18.04?19:35
awygleshapr: yup19:35
shaprok, thanks19:35
daveshahboost 1.6619:35
awygleyeah i think boost::python was the problem19:36
awyglei'll probably just flip on an arch container, because lazy19:36
daveshahawygle: yeah, possibly easiest19:36
daveshahUbuntu looks like boost 1.65.1, so I can't imagine very different19:37
daveshahwhat error do you get?19:37
awyglei got a double free, iirc19:37
awygle(not sitting in front of it right now)19:37
awyglealso i had to downgrade CMake to 3.9 and change the boost::python entry to get libtrellis to build19:38
awygledowngrade the CMake version requirement, that is19:38
daveshahok, de-Arch-ification was on my todo list once I have the basics done this weekend19:38
daveshahthe double free is something else19:38
daveshahtry this branch: https://github.com/daveshah1/prjtrellis/tree/develop-fuzz19:39
tpbTitle: GitHub - daveshah1/prjtrellis at develop-fuzz (at github.com)19:39
daveshahit was a problem in the devices.json file in fact19:39
awygleah, interesting19:39
daveshahawygle: The interconnect fuzzing framework is now in place, in the branch. After fixing one or two minor issues and speeding up with parallelisation, the logic tile should be completely fuzzed fairly soon, hopefully over the weekend.19:47
awygledaveshah: awesome!19:48
daveshahJust to give you an idea where things are at19:48
awygleyup19:48
daveshahI've run it now for a few hours and it's a bit past halfway, but an optimisation I added causes it to miss the odd interconnect19:48
awygleso the next steps would be, perhaps independently, "fuzz other tiles" and "yosys support""?19:48
daveshahYes, exactly19:48
daveshahThe next tile steps are (i) interconnect tiles (CIBs) which are simply a case of reusing the logic tile fuzzer without the logic bits and (ii) IO tiles which are a project unto themselves19:49
daveshahwould you be interested in (ii) ?19:49
awygleit might be useful to put together a semi-prioritized list that people could indicate their targets on. i know there's at least two or three people interested in getting involved (including myself)19:49
awygleyeah, sure! sounds like fun :)19:49
daveshahThere's a crude todo list in the README19:50
*** mazzoo has joined #yosys19:55
*** SpaceCoaster has quit IRC19:57
*** xerpi has joined #yosys20:16
*** SpaceCoaster has joined #yosys20:20
*** SpaceCoaster has quit IRC20:25
*** SpaceCoaster has joined #yosys20:29
daveshahawygle: another job that needs doing, and could easily be done in parallel to fuzzing, is to document the timing model of the ecp521:00
daveshahPlenty to work on, that's for sure...21:01
awygledefinitely21:02
awygletiming model sounds less like my thing tbh. less development, more attention to detail21:03
daveshahawygle: sure21:03
awyglefuzzers and yosys support are the things i have my eye on21:03
daveshahawygle: Yosys support is probably the most immediately rewarding, because it will also make Diamond better21:04
daveshahFuzzers are probably the most useful right now though21:04
*** xerpi has quit IRC21:22
*** cemerick_ has quit IRC21:24
*** dmin7 has quit IRC21:25
*** digshadow has quit IRC21:28
*** digshadow has joined #yosys21:32
*** digshadow has left #yosys21:49
*** digshadow has joined #yosys21:51
*** digshadow has quit IRC21:51
*** digshadow has joined #yosys21:55
*** digshadow has quit IRC21:57
sorearAre power models a thing of interest?22:00
awygleI'd say so yes22:02
*** digshadow has joined #yosys22:07
*** dxld has quit IRC22:46
*** SpaceCoaster has quit IRC22:48
*** dxld has joined #yosys22:48
*** GuzTech has quit IRC22:50
*** dxld has quit IRC23:15
*** dxld has joined #yosys23:16
*** m_w has joined #yosys23:58

Generated by irclog2html.py 2.13.1 by Marius Gedminas - find it at mg.pov.lt!