Wednesday, 2018-04-25

*** tpb has joined #yosys00:00
*** emeb_mac has joined #yosys00:37
*** seldridge has quit IRC01:11
*** X-Scale has quit IRC01:15
*** X-Scale has joined #yosys01:48
mithroZipCPU: it gets optimised down to 6 LUTs if I understand correctly...01:49
*** sklv1 has quit IRC02:03
*** xrexeon has joined #yosys02:03
*** xrexeon has quit IRC02:10
*** sklv1 has joined #yosys02:13
*** digshadow has quit IRC02:15
*** cemerick has quit IRC02:30
*** digshadow has joined #yosys02:50
*** seldridge has joined #yosys03:06
*** emeb has quit IRC03:34
*** seldridge has quit IRC04:28
*** seldridge has joined #yosys04:50
*** digshadow has quit IRC04:51
*** digshadow has joined #yosys04:56
*** seldridge has quit IRC05:03
*** sklv1 has quit IRC05:04
*** sklv1 has joined #yosys05:05
*** proteus-guy has quit IRC05:41
*** pie_ has quit IRC05:41
*** kraiskil has joined #yosys05:50
*** GuzTech has joined #yosys05:51
*** eduardo_ has joined #yosys05:52
*** pie_ has joined #yosys05:54
*** eduardo__ has quit IRC05:55
*** dys has joined #yosys06:04
*** kraiskil has quit IRC06:07
*** GuzTech has quit IRC06:07
*** pie_ has quit IRC06:09
*** proteus-guy has joined #yosys06:10
*** pie_ has joined #yosys06:14
daveshahmithro: putting `(* keep *)` on all the submodules should work06:37
daveshahOtherwise, I think there's a way of running abc on the submodules rather than the flattened design, by running hierarchy after abc06:38
*** GuzTech has joined #yosys07:05
*** emeb_mac has quit IRC07:08
*** dys has quit IRC07:11
*** pie_ has quit IRC07:15
*** daddesio has quit IRC07:50
*** daddesio has joined #yosys07:55
*** pie_ has joined #yosys08:04
*** pie_ has quit IRC08:20
*** pie_ has joined #yosys08:22
*** cemerick has joined #yosys08:36
*** pie_ has quit IRC08:37
*** phire has quit IRC08:42
*** phire has joined #yosys08:43
*** jwhitmore has joined #yosys08:55
*** pie_ has joined #yosys08:55
*** xrexeon has joined #yosys09:10
*** xrexeon has joined #yosys09:12
*** leviathan has joined #yosys09:19
*** pie_ has quit IRC09:46
*** pie_ has joined #yosys09:58
*** maartenBE has joined #yosys09:59
*** _florent_ has quit IRC10:28
*** _florent_ has joined #yosys10:29
*** proteus-guy has quit IRC10:58
*** leviathan has quit IRC11:28
*** xrexeon has quit IRC11:45
*** sorear has quit IRC11:46
*** sorear has joined #yosys11:46
*** xrexeon has joined #yosys11:47
*** phiren has joined #yosys12:21
*** phire has quit IRC12:27
*** esden has quit IRC12:27
*** eightdot_ has quit IRC12:27
*** SpaceCoaster has quit IRC12:27
*** ralu has quit IRC12:27
*** ssvb has quit IRC12:27
*** mirage335 has quit IRC12:27
*** esden has joined #yosys12:29
*** eightdot_ has joined #yosys12:29
*** SpaceCoaster has joined #yosys12:29
*** ralu has joined #yosys12:29
*** ssvb has joined #yosys12:29
*** mirage335 has joined #yosys12:29
*** danieljabailey has quit IRC12:30
*** danieljabailey has joined #yosys12:32
*** proteusguy has quit IRC12:57
*** proteusguy has joined #yosys12:58
*** jwhitmore has quit IRC13:09
*** proteus-guy has joined #yosys13:38
*** photon has joined #yosys14:29
*** photon has quit IRC14:36
*** seldridge has joined #yosys14:54
mithrodaveshah: Well, ideally I would like a design which /can't/ be optimized away14:59
mithrodaveshah: I feel like maybe you could use a SAT solver to fill in the LUT init pattern to make sure?14:59
ZipCPUThat was what the reddit/yosys article discussed, "Keep duplicate FF through Opt_merge".  Clifford demonstrated in the response that you could keep ABC from optimizing sections of your design via the (* keep_hierarchy *) attribute.15:00
mithroZipCPU: Yeah - knew about the keep stuff - but I was more looking for something that can't be optimized away...15:03
daveshahThat design will always be optimised unless the optimiser is broken15:04
daveshahNo SAT solver will help with that15:04
daveshahEither you need more inputs or a keep command15:04
*** esden has quit IRC15:04
*** eightdot_ has quit IRC15:04
*** SpaceCoaster has quit IRC15:04
*** ralu has quit IRC15:04
*** ssvb has quit IRC15:04
*** mirage335 has quit IRC15:04
*** sklv1 has quit IRC15:04
*** phiren has quit IRC15:04
*** marbler has quit IRC15:05
*** samayra has quit IRC15:05
*** xrexeon has quit IRC15:05
*** Kooda has quit IRC15:05
*** mazzoo has quit IRC15:05
*** pie_ has quit IRC15:05
*** eduardo_ has quit IRC15:05
*** clifford has quit IRC15:05
*** srk has quit IRC15:05
*** ZipCPU has quit IRC15:05
*** knielsen has quit IRC15:05
*** fouric has quit IRC15:05
*** mlen has quit IRC15:05
*** andi- has quit IRC15:05
mithrodaveshah:  Out of interest how would you "prove" that?15:05
*** pie_ has joined #yosys15:05
*** eduardo_ has joined #yosys15:05
*** clifford has joined #yosys15:05
*** srk has joined #yosys15:05
*** ZipCPU has joined #yosys15:05
*** knielsen has joined #yosys15:05
*** fouric has joined #yosys15:05
*** mlen has joined #yosys15:05
*** andi- has joined #yosys15:05
*** kornbluth.freenode.net sets mode: +o clifford15:05
daveshahNot sure TBH15:05
daveshahActually, I was thinking about an arch with muxes. Too much ECP5 stuff15:06
daveshahMaybe there is a pattern that works15:06
mithrodaveshah: Well, I guess you have "existence proof" -- IE ABC was able to optimize it so, therefore... :-P15:06
*** phiren has joined #yosys15:07
*** marbler has joined #yosys15:07
*** samayra has joined #yosys15:07
*** esden has joined #yosys15:07
*** eightdot_ has joined #yosys15:07
*** SpaceCoaster has joined #yosys15:07
*** ralu has joined #yosys15:07
*** ssvb has joined #yosys15:07
*** mirage335 has joined #yosys15:07
*** samayra has quit IRC15:07
*** xrexeon has joined #yosys15:08
*** Kooda has joined #yosys15:08
*** mazzoo has joined #yosys15:08
*** xrexeon has quit IRC15:08
*** emeb has joined #yosys15:08
*** indefini has quit IRC15:08
*** pointfree1 has quit IRC15:08
*** nrossi has quit IRC15:08
*** swick has quit IRC15:08
*** lok[m] has quit IRC15:08
*** xrexeon has joined #yosys15:08
*** jfng has quit IRC15:08
*** MatrixTraveler[m has quit IRC15:08
*** marbler has quit IRC15:08
*** jayaura has quit IRC15:09
daveshahI think this is a proof that a 6-input combinational network will produce no more than 6 LUT4s after optimisation: A 6-LUT can always be built from 4 4-LUTs and a MUX4. Proof by Yosys that a MUX4 can be built using only 2 LUT4s. Hence 6 LUT4s in total15:16
daveshahFeel free to point out a flaw in this15:17
cr1901_modern4 4-LUTs (I can visualize a MUX4 from 2 4-LUTs just fine)?15:21
cr1901_modernBasically, I don't see how you get 6 bits of arbitrary functions from 4-LUTs and a MUX15:24
*** bubble_buster has quit IRC15:25
*** bubble_buster has joined #yosys15:25
cr1901_modernWait nevermind. I can visualize a 5-LUT from 2 4-LUTs and a 2-MUX, so 6-LUT is just an extension of that (too lazy to actually do it in my head tho :P)15:26
sorearwhat does the MUX4 from LUT4 look like?15:28
soreari couldn't figure out how to do it with less than 3 myself15:28
*** promach__ has joined #yosys15:31
*** promach__ is now known as promach315:31
*** photon has joined #yosys15:32
photonany recommendation for a sequence of abc command to minimize the area?15:33
*** mithro has quit IRC15:34
*** mithro has joined #yosys15:35
ZipCPUDoesn't the "opt" yosys command do that?15:35
photonwell, opt does not do a great job15:39
ZipCPUIf there's another means of doing so, I'd like to find it.  I've got some code I'd like optimized better .. ;)15:40
photonfor a test design design compiler gave 160 gates and yosys/abc gave 1000 gates!15:40
awygleare you also running "abc"?15:40
photonI played abit with abc commands to reduce the number to 600 gates15:40
photonbut, it is still far away from 160 gates15:41
photonreported by DC15:41
ZipCPU"gates" or "LUT"s?15:41
photonI am mapping the design to ASIC standrd cell library15:42
ZipCPUDoes your design have RAM elements within it?15:42
photonif they do good job for ASIC they should for FPGA15:42
photonit is a pure combinational design given as a set of boolean equations15:43
cr1901_modernsorear: Gonna have to take a rain check on that one. My mental picture was wrong. But I imagine it can be done15:47
sorearcr1901_modern: i'm asking daveshah not (necessarily) you15:48
cr1901_modernsorear: Oh sorry, I made it all about me :P15:48
sorearyou can answer it if you want, but I don't expect you to know details of daveshah's 2-LUT MUX415:49
*** cfelton has quit IRC15:50
*** cfelton has joined #yosys15:51
daveshahhttps://usercontent.irccloud-cdn.com/file/4kWC5SMZ/MUX6%20from%20LUT4s.png15:51
daveshahThis was done using Yosys's `synth_ice40` and `show` commands15:52
daveshahIt's a beautifully tricky solution15:54
*** sklv1 has joined #yosys15:55
*** proteus-guy has quit IRC15:56
cr1901_modernOh, I almost got it right (forgot to feed in 1:1-0:0 to the _first_ 4-LUT as well as the second)15:56
*** proteus-guy has joined #yosys15:57
*** photon has quit IRC15:59
sorearahahahahahahahahha16:03
sorearthat is amazing16:03
*** ovf has quit IRC16:07
*** ovf has joined #yosys16:08
*** awygle_ has joined #yosys16:08
daveshahNB - in Yosys notation y:x - b:a maps bits y..x to bits b..a - so 1:1-0:0 means select bit 1, for example16:09
daveshahIt's a bit of an odd notation, but it's also flexible16:09
*** zino has quit IRC16:14
*** awygle has quit IRC16:14
*** zino has joined #yosys16:14
*** samayra has joined #yosys16:23
*** marex-cloud has quit IRC16:30
*** marex-cloud has joined #yosys16:30
*** eduardo_ has quit IRC16:32
*** dys has joined #yosys16:43
*** promach3 has quit IRC16:58
*** guan has quit IRC16:58
*** guan has joined #yosys16:59
*** GuzTech has quit IRC16:59
*** pointfree1 has joined #yosys17:05
*** indefini has joined #yosys17:05
*** jfng has joined #yosys17:05
*** nrossi has joined #yosys17:05
*** swick has joined #yosys17:05
*** lok[m] has joined #yosys17:05
*** Guest85601 has joined #yosys17:05
*** marbler has joined #yosys17:05
*** leviathan has joined #yosys17:09
*** dys has quit IRC17:11
*** digshadow has quit IRC17:12
*** promach3 has joined #yosys17:14
*** promach3 has quit IRC17:19
*** cemerick_ has joined #yosys17:26
shaprThe ice40 boards for my novena shipped from austria, that'll be fun.17:29
*** cemerick has quit IRC17:30
*** GuzTech has joined #yosys17:55
*** awygle_ is now known as awygle17:56
*** photon has joined #yosys17:58
*** xerpi has joined #yosys17:58
*** photon has quit IRC18:09
*** digshadow has joined #yosys18:22
*** sklv1 has quit IRC18:38
*** sklv has joined #yosys20:09
*** indy has quit IRC20:24
*** indy has joined #yosys20:28
emebice40 in a novena? doesn't novena already have an FPGA in it?20:35
sorearYes but it’s not usable with FOSS20:37
shapremeb: https://www.jamiecraig.com/novena-ice40-add-on/20:44
tpbTitle: Novena iCE40 Add-On | Jamie Craig (at www.jamiecraig.com)20:44
emebYeah - not being able to run the Spartan tools on the Novena is a PITA.21:00
emebI built a little RPi "hat" with an Ultra-Plus on it and it's fun to build the bitstream right on the RPi.21:01
emebEven works on an RPi Zero.21:01
*** GuzTech has quit IRC21:29
*** leviathan has quit IRC22:15
*** xerpi has quit IRC22:29
*** xrexeon has quit IRC22:59
*** promach3 has joined #yosys23:45

Generated by irclog2html.py 2.13.1 by Marius Gedminas - find it at mg.pov.lt!