Friday, 2018-03-23

*** tpb has joined #yosys00:00
*** seldridge has quit IRC00:40
*** proteus-guy has joined #yosys00:44
*** Nazara has joined #yosys00:55
*** digshadow has quit IRC00:58
*** promach__ has quit IRC01:20
*** qu1j0t3 has quit IRC01:27
*** m_w has quit IRC01:27
*** proteus-guy has quit IRC01:33
*** qu1j0t3 has joined #yosys01:47
*** emeb has quit IRC01:58
*** digshadow has joined #yosys02:18
*** promach has quit IRC02:30
*** seldridge has joined #yosys02:37
*** AlexDaniel has quit IRC02:45
*** dys has quit IRC03:03
*** seldridge has quit IRC03:14
*** seldridge has joined #yosys03:14
*** pie_ has quit IRC03:48
*** pie_ has joined #yosys03:57
*** maartenBE has quit IRC04:23
*** seldridge has quit IRC04:55
*** digshadow has quit IRC04:58
*** maartenBE has joined #yosys05:06
*** xrexeon has joined #yosys05:56
*** dys has joined #yosys06:02
*** leviathan has joined #yosys06:11
*** leviathan has quit IRC06:21
*** leviathan has joined #yosys06:21
*** pie_ has quit IRC06:31
*** pie_ has joined #yosys06:32
*** digshadow has joined #yosys06:58
*** pie_ has quit IRC07:45
*** nikhilp has joined #yosys07:47
nikhilphello07:48
*** GuzTech has joined #yosys07:52
*** nikhilp has quit IRC07:55
*** promach has joined #yosys09:05
*** cemerick has joined #yosys09:32
*** proteus-guy has joined #yosys10:13
*** oldtopman has quit IRC10:19
*** oldtopman has joined #yosys10:24
*** eduardo_ has joined #yosys11:08
*** eduardo__ has quit IRC11:12
ZipCPUnikhilp: Please stick around next time, we like to chat but ... sometimes you need to wait a while for a response.11:28
*** digshadow has quit IRC11:38
*** pie_ has joined #yosys11:43
*** promach has quit IRC11:51
*** indy has quit IRC12:00
*** indy has joined #yosys12:02
*** promach has joined #yosys12:52
*** leviathan has quit IRC12:59
*** AlexDaniel has joined #yosys13:03
*** cemerick has quit IRC13:08
*** seldridge has joined #yosys13:12
*** pie_ has quit IRC13:29
*** pie_ has joined #yosys13:39
*** pie_ has quit IRC13:46
*** sunxi_fan has quit IRC14:08
*** proteus-guy has quit IRC14:18
*** proteus-guy has joined #yosys14:22
*** xrexeon has joined #yosys14:28
*** xrexeon has quit IRC14:28
*** emeb has joined #yosys14:36
*** seldridge has quit IRC14:58
*** dys has quit IRC15:05
*** seldridge has joined #yosys15:13
*** leviathan has joined #yosys15:26
*** leviathan has quit IRC15:29
*** leviathan has joined #yosys15:30
*** seldridge has quit IRC15:44
*** cemerick has joined #yosys15:45
*** pie_ has joined #yosys15:53
*** seldridge has joined #yosys15:57
*** m_w has joined #yosys16:06
*** promach has quit IRC16:33
*** proteus-guy has quit IRC16:48
*** proteus-guy has joined #yosys16:49
*** digshadow has joined #yosys16:57
*** jhol has quit IRC17:00
*** jhol has joined #yosys17:24
*** GuzTech has quit IRC17:25
*** m_w has quit IRC17:40
*** m_w has joined #yosys17:48
*** seldridge has quit IRC17:58
*** dys has joined #yosys18:02
*** digshadow has quit IRC18:24
*** digshadow has joined #yosys18:51
philtorSo there's a bridge between GHDL and Yosys now?19:31
philtorhttps://github.com/tgingold/ghdlsynth-beta19:31
tpbTitle: GitHub - tgingold/ghdlsynth-beta: VHDL synthesis (based on ghdl) (at github.com)19:31
philtora VHDL frontend?19:33
philtorrequires a patch to yosys source19:34
*** proteus-guy has quit IRC19:34
ZipCPUphiltor: I know there's a vhdl2verilog front end to yosys, I just don't know how well it works19:37
philtorThis ghdlsynth looks like it would be a better path - GHDL's VHDL parser is pretty mature19:37
philtorObviously, it's very beta at this point19:38
philtorHowever, it requires patching yosys source and likely the source has gotten out of sync with that patch by now19:39
awygleYes, that's very exciting19:39
philtorThe patch is fairly small, though.19:39
* ZipCPU just doesn't get all that excited about VHDL tools ... ;P19:39
awygleGHDL is GPL though, as pointed out on another channel. I wonder how that works.19:39
philtortgingold should do a pull request to YosysHQ for that patch19:40
awygleZipCPU: I'm excited for someone to give me a reason to learn VHDL!19:40
ZipCPURun away!19:40
ZipCPUFast!19:40
daveshahIt looks like it can also be loaded as a dynamic library without needing a patch19:40
daveshahBut I haven't investigated much19:40
philtorYes, but a patch is requireed to support that19:40
philtorso I don't think licensing should be an issue19:41
philtorIn fact, the patch appears to only be to the yosys Makefile19:41
philtoryes, that seems to be the only thing being patched.19:42
philtorGood separation between the two projects19:42
philtorno licencing issues19:42
philtorlooks a lot like the verific bridge19:43
philtorPretty cool, but needs work.19:44
philtorFortunately, the only code in the bridge is in C++19:44
philtorso you don't need to learn Ada19:44
philtorto contribute19:44
daveshahLooks like the dynamic library does work without the patch using the Yosys module system - but I agree the patch is a much nicer approach19:45
daveshahAFAIK a lot of the work is being done by the `synth` part of ghdl which is in Ada, I don't know how complete that bit is19:46
daveshahhttps://github.com/ghdl/ghdl/tree/master/src/synth19:46
tpbTitle: ghdl/src/synth at master · ghdl/ghdl · GitHub (at github.com)19:46
philtorah, right. It's actually in the GHDL codebase already.20:04
* shapr hops cheerfully20:07
shaprvacation is GREAT!20:07
ZipCPUAt the last robotics competition, they asked what a robot's favorite type of music.  The FROGbots team replied "Hip hop", although the answer for all the other robots was "Heavy metal"20:10
shaprbwahahaha20:11
shaprZipCPU: twitter worthy for sure20:11
ZipCPUHere's a question for those with experience using the concurrent assertions that yosys (without verific) doesn't (yet) support ...20:51
ZipCPUWhat's the "killer app" that makes such assertions valuable?20:51
ZipCPUWhat's the "killer app" that makes such *concurrent* assertions valuable?21:01
*** jwhitmore has joined #yosys21:08
*** digshadow has quit IRC21:16
*** digshadow has joined #yosys21:29
ZipCPUHmm ... assertions for a UART: 117 lines without concurrent assertions, 47 lines with ...21:57
ZipCPU... wonder what the difference would be for a SPI flash ... ?21:58
sorearcan concurrent assertions always be replaced with regular assertions?22:02
awygleZipCPU: all i want in the world is for a notion of a "property" as a first class object22:02
ZipCPUsorear: I think the right way to answer your question would be to start with: there are two types of assertions (or assumptions) concurrent and immediate.22:03
ZipCPUyosys currently only supports the immediate assumptions.22:03
ZipCPUconcurrent assumptions include the System Verilog sequence/property language subset.22:04
cr1901_modern? "assert property" is a concurrent assertion.22:04
cr1901_modernyosys supports that, it just doesn't support anything else :P22:04
awygleyosys only supports that in a trivial way, and does so by converting it to the implied immediate version, iirc22:04
cr1901_modernhttp://www.clifford.at/papers/2016/yosys-smtbmc/slides.pdf slide 11, awygle is correct. And I even read this presentation multiple times :(22:07
ZipCPUcr1901_modern: Yosys does not support: assert property (A |-> B ##1 C [*3:8] ##1 !B); as an example.22:08
* awygle prints out "awygle is correct", frames it, adds it to a wall of dozens of similar frames22:08
cr1901_modernWell idk if yosys supports ##, but it certainly doesn't support |->22:08
cr1901_modernlast I checked*22:09
awyglei just want to be able to do "assert property(acks_are_happy)" and define what happiness means to acks somewhere else22:09
* ZipCPU signs awygle's printout22:09
cr1901_modernAlso, obligatory link. Have fun! https://stackoverflow.com/questions/24912264/systemverilog-implies-operator-vs22:09
tpbTitle: system verilog - SystemVerilog: implies operator vs. |-> - Stack Overflow (at stackoverflow.com)22:09
awygleotherwise it feels like an SLA principle violation22:10
awyglebut it's possible i could solve this problem with functions if i knew verilog better22:10
*** leviathan has quit IRC22:26
*** promach has joined #yosys23:39

Generated by irclog2html.py 2.13.1 by Marius Gedminas - find it at mg.pov.lt!