Friday, 2021-03-05

*** tpb has joined #symbiflow00:00
*** Evidlo has quit IRC00:15
*** TMM has quit IRC00:15
*** TMM has joined #symbiflow00:17
*** Evidlo has joined #symbiflow00:24
*** Tokamak has quit IRC00:27
*** Tokamak has joined #symbiflow00:41
*** Tokamak has quit IRC00:46
*** xobs has quit IRC01:45
*** unrznbl[m] has quit IRC01:45
*** promach3 has quit IRC01:46
*** Evidlo has quit IRC01:49
*** promach3 has joined #symbiflow02:06
*** citypw has joined #symbiflow02:06
*** nickoe has quit IRC02:08
*** nickoe has joined #symbiflow02:08
*** unrznbl[m] has joined #symbiflow02:08
*** xobs has joined #symbiflow02:10
*** Evidlo has joined #symbiflow02:30
*** FFY00_ has quit IRC02:39
*** FFY00_ has joined #symbiflow02:40
*** FFY00_ has quit IRC02:51
*** FFY00_ has joined #symbiflow02:51
*** Degi_ has joined #symbiflow03:10
*** Degi has quit IRC03:12
*** Degi_ is now known as Degi03:12
*** _whitelogger has quit IRC05:09
*** _whitelogger has joined #symbiflow05:11
*** kgugala has joined #symbiflow06:16
*** kgugala_ has quit IRC06:18
*** citypw has quit IRC07:22
*** kgugala_ has joined #symbiflow07:50
*** kgugala has quit IRC07:52
*** citypw has joined #symbiflow09:28
*** TMM has quit IRC09:32
*** TMM has joined #symbiflow09:32
*** lambda has quit IRC09:44
*** lambda has joined #symbiflow09:47
*** craigo has quit IRC10:44
*** ByteLawd has quit IRC11:58
*** ByteLawd has joined #symbiflow11:58
*** ByteLawd has quit IRC12:13
*** ByteLawd has joined #symbiflow12:14
*** ByteLawd has quit IRC12:25
*** ByteLawd has joined #symbiflow12:26
*** citypw has quit IRC13:54
*** rj has joined #symbiflow16:50
sf-slack4<arvindsrinivasan> Is there by any chance of a set of updated arch def tars files that are mirrored anywhere? The google cloud ones are a bit out of date16:58
*** kraiskil has joined #symbiflow16:59
*** rj has quit IRC17:02
sf-slack4<acomodi> @arvindsrinivasan if you follow the latest links they should point to new architecture packages (https://console.cloud.google.com/storage/browser/symbiflow-arch-defs-gha)17:09
tpbTitle: Google Cloud Platform (at console.cloud.google.com)17:09
sf-slack4<arvindsrinivasan> Wdym by the latest links?17:12
sf-slack4<acomodi> The file containing the latest valid architecture link (e.g. https://storage.cloud.google.com/symbiflow-arch-defs-gha/symbiflow-toolchain-latest)17:16
tpbTitle: Sign in - Google Accounts (at storage.cloud.google.com)17:16
*** rj has joined #symbiflow17:19
*** kraiskil has quit IRC17:19
sf-slack4<arvindsrinivasan> Cool thank you!17:23
*** rj has quit IRC17:40
*** rj has joined #symbiflow17:43
litghostacomodi: The no auth URLs are a little different, e.g https://storage.googleapis.com/symbiflow-arch-defs-gha/symbiflow-toolchain-latest We should prefer the no auth URLs, as not everyone has a gmail-type account17:47
*** rj has quit IRC18:10
*** rj has joined #symbiflow18:14
*** kraiskil has joined #symbiflow18:24
*** rj has quit IRC18:41
sf-slack4<arvindsrinivasan> So I know I had changed this locally on my system, but is there any reason the master for the arch defs uses `__TECHMAP_REPLACE__` instead of `_TECHMAP_REPLACE_`https://github.com/SymbiFlow/symbiflow-arch-defs/blob/master/xc/xc7/techmap/retarget.v18:42
litghostTypo18:42
*** rj has joined #symbiflow18:44
*** rj has quit IRC18:49
sf-slack4<dan.ravensloft> @arvindsrinivasan as per https://github.com/SymbiFlow/symbiflow-arch-defs/pull/2079/checks you'll need to sign off on the commits18:51
sf-slack4<arvindsrinivasan> Understood, fixing now. Sorry about that18:51
sf-slack4<arvindsrinivasan> Alright I think I fixed it?19:07
litghostNo, you need to squash to one commit and / or signoff all of them19:08
sf-slack4<arvindsrinivasan> Alright, I’ll do tha19:10
*** mkru has joined #symbiflow19:12
*** craigo has joined #symbiflow19:19
sf-slack4<arvindsrinivasan> Done19:21
litghostYep, I've kicked off the full CI.  I expect it should go green19:24
sf-slack4<arvindsrinivasan> Cool19:31
sf-slack4<arvindsrinivasan> So in theory, if this issue is now resolved https://github.com/SymbiFlow/symbiflow-arch-defs/issues/2059, once this PR merges the online versions should also update?19:42
litghostOnce master goes green19:43
litghostNot once the PR goes green19:43
*** BonusPlay has quit IRC19:45
*** BonusPlay has joined #symbiflow19:46
*** rj has joined #symbiflow19:50
*** gromero_ has joined #symbiflow20:03
*** gromero has quit IRC20:06
*** rj has quit IRC20:20
*** rj has joined #symbiflow20:24
*** rj has quit IRC20:52
*** rj has joined #symbiflow20:56
*** mkru has quit IRC21:13
sf-slack4<arvindsrinivasan> @dan.ravensloft, do you know why this assert from ABC9 would be an issue for one of the example demos? https://github.com/SymbiFlow/symbiflow-examples/issues/127 ```25.5.2. Executing ABC9_OPS pass (helper functions for ABC9). ERROR: Assert `modules_.count(name) == 0' failed in kernel/rtlil.cc:616.```21:15
sf-slack4<dan.ravensloft> Hmm21:19
sf-slack4<dan.ravensloft> Okay, so, that assert means something is trying to add a module with a name that already exists21:23
*** rj has quit IRC21:23
sf-slack4<dan.ravensloft> And `abc9_ops` calls `addModule` in six places21:26
*** rj has joined #symbiflow21:27
sf-slack4<dan.ravensloft> https://github.com/YosysHQ/yosys/pull/2637 The error message annoyed me enough I submitted an upstream PR to clarify the problem21:49
*** rj has quit IRC21:55
*** rj has joined #symbiflow21:57
sf-slack4<arvindsrinivasan> Any way I can help debug this issue?22:01
*** kraiskil has quit IRC22:09
sf-slack4<dan.ravensloft> It's a bit faster if I try to reproduce it myself, I think22:12
sf-slack4<dan.ravensloft> https://github.com/YosysHQ/yosys/pull/2638 Here's another improved error message for the `CARRY4_VPR` assert we hit22:14
*** FFY00_ has quit IRC22:15
sf-slack4<dan.ravensloft> My attempts to reproduce it are stumbling because of a `prjxray-config` file that it wants but can't find22:29
*** TMM has quit IRC22:29
*** TMM has joined #symbiflow22:29
sf-slack4<dan.ravensloft> (fixed, nvm)22:30
*** adjtm has joined #symbiflow22:36
*** rj has quit IRC22:39
*** craigo has quit IRC22:44
sf-slack4<dan.ravensloft> ```25.5.2. Executing ABC9_OPS pass (helper functions for ABC9). ERROR: Attempted to add new module named '$paramod\FDCE\INIT=1'x_$abc9_byp', but a module by that name already exists```22:51
sf-slack4<dan.ravensloft> This is already a much better error message22:51
litghostYay!22:54
sf-slack4<dan.ravensloft> https://github.com/YosysHQ/yosys/blob/master/passes/techmap/abc9_ops.cc#L330 is the assert failing23:05
sf-slack4<dan.ravensloft> *addModule call failing23:06

Generated by irclog2html.py 2.17.2 by Marius Gedminas - find it at https://mg.pov.lt/irclog2html/!