Saturday, 2021-01-30

*** tpb has joined #symbiflow00:00
*** FFY00 has quit IRC00:13
*** FFY00 has joined #symbiflow00:14
*** craigo has quit IRC01:07
*** hansfbaier has quit IRC02:14
*** citypw has joined #symbiflow02:58
*** Degi_ has joined #symbiflow03:38
*** Degi has quit IRC03:41
*** Degi_ is now known as Degi03:41
*** ayazar1 has joined #symbiflow04:22
*** _whitelogger has quit IRC04:51
*** _whitelogger has joined #symbiflow04:53
*** proteusguy has quit IRC05:46
*** cr1901_modern has quit IRC05:46
*** proteusguy has joined #symbiflow05:47
*** cr1901_modern has joined #symbiflow05:56
*** infinite_recursi has joined #symbiflow06:15
*** craigo has joined #symbiflow06:56
*** ym has joined #symbiflow07:07
*** infinite_recursi has quit IRC07:12
*** infinite_recursi has joined #symbiflow07:13
*** infinite_recursi has quit IRC07:28
*** QDX45 has quit IRC08:05
*** kraiskil has joined #symbiflow08:23
*** infinite_recursi has joined #symbiflow08:26
*** yeti has quit IRC08:35
*** infinite_recursi has quit IRC08:37
*** yeti has joined #symbiflow08:38
*** kgugala_ has joined #symbiflow08:54
*** kgugala has quit IRC08:57
*** kgugala_ has quit IRC09:12
*** kgugala has joined #symbiflow09:12
*** kgugala has quit IRC09:51
*** kgugala has joined #symbiflow09:52
lambdais there anything that can be done about the long build times with the symbiflow toolchain? building my design takes 20 minutes now, that's quite a painful contrast to the 5 minutes with normal yosys+nextpnr :/10:14
sf-slack<kgugala> do you know which part is the longest? (synth/pack/plack/route/bitstream_write)?10:17
*** infinite_recursi has joined #symbiflow10:27
lambdaI'll time them separately, but I think synth is quite a big part10:39
infinite_recursiI need some help generating bitstream from example.v for the first time.10:45
infinite_recursiI'm executing these 2 commands10:45
infinite_recursiyosys -p 'synth_ice40 -blif example.blif' example.v10:46
infinite_recursinextpnr-ice40 --hx8k --pcf ice40hx8k-evb.pcf example.blif10:46
infinite_recursiin sequence, for the 2nd command I get the following error.10:46
infinite_recursiERROR: Error occurred while executing Python script example.blif10:46
infinite_recursi.model top10:47
lambdainfinite_recursi: I don't know if nextpnr takes blif, try `synth_ice40 -json` and `nextpnr-ice40 --json`10:48
infinite_recursiWhere am I wrong? blif is not a python script10:48
infinite_recursiok10:48
infinite_recursiAre you saying to use yosys with output json and then go for nextpnr-ice40 --json ?10:50
lambdayes10:50
infinite_recursiIt ran but can't see output file10:52
infinite_recursinextpnr-ice40 --hx8k --pcf ice40hx8k-evb.pcf --package ct256 example.json10:53
lambdayou need to use `--json example.json`10:53
infinite_recursiYes, still no output file10:54
infinite_recursiDo I need to give --asc example.asc10:55
infinite_recursi?10:55
lambdayep10:55
infinite_recursilambda: Thanks, done.10:57
infinite_recursiNow how to use icestorm? This I have no clue10:57
lambdakgugala: synth 7, pack 1, place 3, route 3, fasm 2, bit ~0 minutes - actually only took ~16 minutes this time, but yeah, synth is the biggest offender10:58
sf-slack<kgugala> so synth is mostly yosys10:59
lambda2.5 of those synth minutes are spent in a single opt_expr call after BRAM mapping, not sure what's up with that10:59
LoneTechsynth can probably be subdivided make-style11:00
LoneTechit might run faster with -coarse or -noflatten, analogous to development builds11:08
infinite_recursiFound stuff on icestorm, official page is pretty great. :D11:08
LoneTechyosyshq link to manual is 40411:13
lambdathe thing is, running yosys for nextpnr (basically just synth_xilinx; write_json) takes only about a minute, so I'm not entirely sure what synth.tcl does that makes it so slow11:17
lambdaah, one difference I can see is that plain synth_xilinx has better BRAM mapping11:22
*** kraiskil has quit IRC11:25
*** infinite_recursi has quit IRC11:32
*** kgugala__ has joined #symbiflow11:37
*** kgugala has quit IRC11:40
*** kgugala has joined #symbiflow11:56
*** kgugala__ has quit IRC11:59
*** kgugala_ has joined #symbiflow11:59
*** kgugala has quit IRC12:02
lambdamanaged to get it down to ~9 minutes, now every part takes 1-2 minutes (with routing probably being the heaviest)12:14
*** join_sub1ine has joined #symbiflow12:41
*** join_subline has quit IRC12:42
*** kgugala has joined #symbiflow12:45
sf-slack<kgugala> cool, did you change the synth script or the design?12:46
*** kgugala_ has quit IRC12:49
*** join_sub1ine has quit IRC12:50
*** join_subline has joined #symbiflow12:56
*** citypw has quit IRC13:41
*** citypw has joined #symbiflow13:41
lambdakgugala: I was doing a dumb thing and combining my entire design into a .v (my sources are mostly VHDL, only a few actual verilog files) and feeding that into synth, exporting it as rtlil instead works much better13:48
lambdaTMDS_33 IOSTANDARD isn't supported yet, right? I can't find any mention of it in prjxray, prjxray-db and arch-defs, but there's also no error during build13:49
*** citypw has quit IRC14:47
*** kraiskil has joined #symbiflow15:12
*** flammit has quit IRC15:45
*** flammit has joined #symbiflow15:45
*** DrWhax has quit IRC15:58
*** craigo_ has joined #symbiflow16:09
*** craigo has quit IRC16:12
*** gromero has quit IRC16:50
*** gromero has joined #symbiflow16:51
*** join_subline has quit IRC16:52
*** join_subline has joined #symbiflow16:59
*** join_subline has quit IRC17:44
*** dnltz has joined #symbiflow18:09
*** join_subline has joined #symbiflow18:10
*** umarcor has joined #symbiflow18:36
*** umarcor|2 has quit IRC18:38
*** ayazar1 has quit IRC18:53
*** QDX45 has joined #symbiflow18:56
*** kraiskil has quit IRC19:07
*** kraiskil has joined #symbiflow19:11
*** ktemkin has quit IRC19:46
*** ktemkin has joined #symbiflow19:47
*** daveshah has quit IRC19:47
*** ric96 has quit IRC19:47
*** daveshah has joined #symbiflow19:47
*** ric96 has joined #symbiflow19:47
*** dnltz has quit IRC20:23
*** join_subline has quit IRC20:39
*** join_subline has joined #symbiflow20:46
*** join_subline has quit IRC20:59
nickoeJust a quick test with nmigen for the blinky example:21:01
nickoeVivado build time:88.56495332717896 seconds21:01
nickoeSymbiflow build time:72.54390025138855 seconds21:01
*** SmutLord^ has quit IRC21:13
*** SmutLord^ has joined #symbiflow21:13
-_whitenotifier- [sv-tests] caryr opened issue #1315: @ * is valid and should not be excluded in ivtest - https://git.io/Jt4po22:55
*** kraiskil has quit IRC23:01
*** xtro has joined #symbiflow23:16
nickoelitghost: : so after 23 hours and 20 mintes one presubmit test failed, https://github.com/SymbiFlow/symbiflow-arch-defs/pull/198623:26
nickoelitghost: What is the error?23:28

Generated by irclog2html.py 2.17.2 by Marius Gedminas - find it at https://mg.pov.lt/irclog2html/!