*** tpb has joined #symbiflow | 00:00 | |
*** FFY00 has quit IRC | 00:13 | |
*** FFY00 has joined #symbiflow | 00:14 | |
*** craigo has quit IRC | 01:07 | |
*** hansfbaier has quit IRC | 02:14 | |
*** citypw has joined #symbiflow | 02:58 | |
*** Degi_ has joined #symbiflow | 03:38 | |
*** Degi has quit IRC | 03:41 | |
*** Degi_ is now known as Degi | 03:41 | |
*** ayazar1 has joined #symbiflow | 04:22 | |
*** _whitelogger has quit IRC | 04:51 | |
*** _whitelogger has joined #symbiflow | 04:53 | |
*** proteusguy has quit IRC | 05:46 | |
*** cr1901_modern has quit IRC | 05:46 | |
*** proteusguy has joined #symbiflow | 05:47 | |
*** cr1901_modern has joined #symbiflow | 05:56 | |
*** infinite_recursi has joined #symbiflow | 06:15 | |
*** craigo has joined #symbiflow | 06:56 | |
*** ym has joined #symbiflow | 07:07 | |
*** infinite_recursi has quit IRC | 07:12 | |
*** infinite_recursi has joined #symbiflow | 07:13 | |
*** infinite_recursi has quit IRC | 07:28 | |
*** QDX45 has quit IRC | 08:05 | |
*** kraiskil has joined #symbiflow | 08:23 | |
*** infinite_recursi has joined #symbiflow | 08:26 | |
*** yeti has quit IRC | 08:35 | |
*** infinite_recursi has quit IRC | 08:37 | |
*** yeti has joined #symbiflow | 08:38 | |
*** kgugala_ has joined #symbiflow | 08:54 | |
*** kgugala has quit IRC | 08:57 | |
*** kgugala_ has quit IRC | 09:12 | |
*** kgugala has joined #symbiflow | 09:12 | |
*** kgugala has quit IRC | 09:51 | |
*** kgugala has joined #symbiflow | 09:52 | |
lambda | is there anything that can be done about the long build times with the symbiflow toolchain? building my design takes 20 minutes now, that's quite a painful contrast to the 5 minutes with normal yosys+nextpnr :/ | 10:14 |
---|---|---|
sf-slack | <kgugala> do you know which part is the longest? (synth/pack/plack/route/bitstream_write)? | 10:17 |
*** infinite_recursi has joined #symbiflow | 10:27 | |
lambda | I'll time them separately, but I think synth is quite a big part | 10:39 |
infinite_recursi | I need some help generating bitstream from example.v for the first time. | 10:45 |
infinite_recursi | I'm executing these 2 commands | 10:45 |
infinite_recursi | yosys -p 'synth_ice40 -blif example.blif' example.v | 10:46 |
infinite_recursi | nextpnr-ice40 --hx8k --pcf ice40hx8k-evb.pcf example.blif | 10:46 |
infinite_recursi | in sequence, for the 2nd command I get the following error. | 10:46 |
infinite_recursi | ERROR: Error occurred while executing Python script example.blif | 10:46 |
infinite_recursi | .model top | 10:47 |
lambda | infinite_recursi: I don't know if nextpnr takes blif, try `synth_ice40 -json` and `nextpnr-ice40 --json` | 10:48 |
infinite_recursi | Where am I wrong? blif is not a python script | 10:48 |
infinite_recursi | ok | 10:48 |
infinite_recursi | Are you saying to use yosys with output json and then go for nextpnr-ice40 --json ? | 10:50 |
lambda | yes | 10:50 |
infinite_recursi | It ran but can't see output file | 10:52 |
infinite_recursi | nextpnr-ice40 --hx8k --pcf ice40hx8k-evb.pcf --package ct256 example.json | 10:53 |
lambda | you need to use `--json example.json` | 10:53 |
infinite_recursi | Yes, still no output file | 10:54 |
infinite_recursi | Do I need to give --asc example.asc | 10:55 |
infinite_recursi | ? | 10:55 |
lambda | yep | 10:55 |
infinite_recursi | lambda: Thanks, done. | 10:57 |
infinite_recursi | Now how to use icestorm? This I have no clue | 10:57 |
lambda | kgugala: synth 7, pack 1, place 3, route 3, fasm 2, bit ~0 minutes - actually only took ~16 minutes this time, but yeah, synth is the biggest offender | 10:58 |
sf-slack | <kgugala> so synth is mostly yosys | 10:59 |
lambda | 2.5 of those synth minutes are spent in a single opt_expr call after BRAM mapping, not sure what's up with that | 10:59 |
LoneTech | synth can probably be subdivided make-style | 11:00 |
LoneTech | it might run faster with -coarse or -noflatten, analogous to development builds | 11:08 |
infinite_recursi | Found stuff on icestorm, official page is pretty great. :D | 11:08 |
LoneTech | yosyshq link to manual is 404 | 11:13 |
lambda | the thing is, running yosys for nextpnr (basically just synth_xilinx; write_json) takes only about a minute, so I'm not entirely sure what synth.tcl does that makes it so slow | 11:17 |
lambda | ah, one difference I can see is that plain synth_xilinx has better BRAM mapping | 11:22 |
*** kraiskil has quit IRC | 11:25 | |
*** infinite_recursi has quit IRC | 11:32 | |
*** kgugala__ has joined #symbiflow | 11:37 | |
*** kgugala has quit IRC | 11:40 | |
*** kgugala has joined #symbiflow | 11:56 | |
*** kgugala__ has quit IRC | 11:59 | |
*** kgugala_ has joined #symbiflow | 11:59 | |
*** kgugala has quit IRC | 12:02 | |
lambda | managed to get it down to ~9 minutes, now every part takes 1-2 minutes (with routing probably being the heaviest) | 12:14 |
*** join_sub1ine has joined #symbiflow | 12:41 | |
*** join_subline has quit IRC | 12:42 | |
*** kgugala has joined #symbiflow | 12:45 | |
sf-slack | <kgugala> cool, did you change the synth script or the design? | 12:46 |
*** kgugala_ has quit IRC | 12:49 | |
*** join_sub1ine has quit IRC | 12:50 | |
*** join_subline has joined #symbiflow | 12:56 | |
*** citypw has quit IRC | 13:41 | |
*** citypw has joined #symbiflow | 13:41 | |
lambda | kgugala: I was doing a dumb thing and combining my entire design into a .v (my sources are mostly VHDL, only a few actual verilog files) and feeding that into synth, exporting it as rtlil instead works much better | 13:48 |
lambda | TMDS_33 IOSTANDARD isn't supported yet, right? I can't find any mention of it in prjxray, prjxray-db and arch-defs, but there's also no error during build | 13:49 |
*** citypw has quit IRC | 14:47 | |
*** kraiskil has joined #symbiflow | 15:12 | |
*** flammit has quit IRC | 15:45 | |
*** flammit has joined #symbiflow | 15:45 | |
*** DrWhax has quit IRC | 15:58 | |
*** craigo_ has joined #symbiflow | 16:09 | |
*** craigo has quit IRC | 16:12 | |
*** gromero has quit IRC | 16:50 | |
*** gromero has joined #symbiflow | 16:51 | |
*** join_subline has quit IRC | 16:52 | |
*** join_subline has joined #symbiflow | 16:59 | |
*** join_subline has quit IRC | 17:44 | |
*** dnltz has joined #symbiflow | 18:09 | |
*** join_subline has joined #symbiflow | 18:10 | |
*** umarcor has joined #symbiflow | 18:36 | |
*** umarcor|2 has quit IRC | 18:38 | |
*** ayazar1 has quit IRC | 18:53 | |
*** QDX45 has joined #symbiflow | 18:56 | |
*** kraiskil has quit IRC | 19:07 | |
*** kraiskil has joined #symbiflow | 19:11 | |
*** ktemkin has quit IRC | 19:46 | |
*** ktemkin has joined #symbiflow | 19:47 | |
*** daveshah has quit IRC | 19:47 | |
*** ric96 has quit IRC | 19:47 | |
*** daveshah has joined #symbiflow | 19:47 | |
*** ric96 has joined #symbiflow | 19:47 | |
*** dnltz has quit IRC | 20:23 | |
*** join_subline has quit IRC | 20:39 | |
*** join_subline has joined #symbiflow | 20:46 | |
*** join_subline has quit IRC | 20:59 | |
nickoe | Just a quick test with nmigen for the blinky example: | 21:01 |
nickoe | Vivado build time:88.56495332717896 seconds | 21:01 |
nickoe | Symbiflow build time:72.54390025138855 seconds | 21:01 |
*** SmutLord^ has quit IRC | 21:13 | |
*** SmutLord^ has joined #symbiflow | 21:13 | |
-_whitenotifier- [sv-tests] caryr opened issue #1315: @ * is valid and should not be excluded in ivtest - https://git.io/Jt4po | 22:55 | |
*** kraiskil has quit IRC | 23:01 | |
*** xtro has joined #symbiflow | 23:16 | |
nickoe | litghost: : so after 23 hours and 20 mintes one presubmit test failed, https://github.com/SymbiFlow/symbiflow-arch-defs/pull/1986 | 23:26 |
nickoe | litghost: What is the error? | 23:28 |
Generated by irclog2html.py 2.17.2 by Marius Gedminas - find it at https://mg.pov.lt/irclog2html/!