Friday, 2021-01-29

*** tpb has joined #symbiflow00:00
*** futarisIRCcloud has quit IRC00:07
*** TMM has quit IRC00:20
*** TMM has joined #symbiflow00:22
*** maartenBE has quit IRC00:22
*** maartenBE has joined #symbiflow00:23
*** krogozinski_ has joined #symbiflow00:40
*** krogozinski has quit IRC00:41
*** sorear has quit IRC00:48
*** davidlattimore has quit IRC00:51
*** sorear has joined #symbiflow00:52
*** jopdorp has quit IRC00:52
*** davidlattimore has joined #symbiflow00:53
*** jopdorp has joined #symbiflow00:53
*** Niklas[m] has quit IRC01:11
*** gruetzkopf has quit IRC01:11
*** flokli has quit IRC01:11
*** Niklas[m] has joined #symbiflow01:12
*** gruetzkopf has joined #symbiflow01:12
*** flokli has joined #symbiflow01:12
*** unrznbl[m] has quit IRC01:14
*** Niklas[m] has quit IRC01:14
*** abeljj[m] has quit IRC01:14
*** xobs has quit IRC01:14
*** promach3 has quit IRC01:15
*** unrznbl[m] has joined #symbiflow01:35
*** futarisIRCcloud has joined #symbiflow01:37
*** xobs has joined #symbiflow01:45
*** Niklas[m] has joined #symbiflow01:48
*** abeljj[m] has joined #symbiflow01:50
*** citypw_ has joined #symbiflow01:54
*** Niklas[m] has quit IRC02:03
*** unrznbl[m] has quit IRC02:03
*** xobs has quit IRC02:03
*** abeljj[m] has quit IRC02:04
*** citypw_ has quit IRC02:12
*** hansfbaier has joined #symbiflow02:26
*** xobs has joined #symbiflow02:32
*** promach3 has joined #symbiflow02:33
*** Niklas[m] has joined #symbiflow02:36
*** bjorkintosh has joined #symbiflow02:37
*** unrznbl[m] has joined #symbiflow02:42
*** abeljj[m] has joined #symbiflow03:04
*** cr1901_modern1 has joined #symbiflow03:29
*** HackerFoo1 has joined #symbiflow03:31
*** TMM has quit IRC03:38
*** rvalles has quit IRC03:38
*** HackerFoo has quit IRC03:38
*** cr1901_modern has quit IRC03:38
*** Lofty has quit IRC03:38
*** ssb has quit IRC03:38
*** cr1901_modern1 has quit IRC03:39
*** cr1901_modern has joined #symbiflow03:39
*** Niklas[m] has quit IRC03:39
*** unrznbl[m] has quit IRC03:40
*** Degi has quit IRC03:42
*** Degi has joined #symbiflow03:42
*** hosana has quit IRC03:42
*** TMM has joined #symbiflow03:43
*** Lofty has joined #symbiflow03:43
*** ssb has joined #symbiflow03:43
*** TMM has quit IRC03:43
*** Niklas[m] has joined #symbiflow03:43
*** TMM has joined #symbiflow03:43
*** hosana has joined #symbiflow03:45
*** rvalles has joined #symbiflow03:45
*** unrznbl[m] has joined #symbiflow03:49
sf-slack<jgoeders> @litghost Thanks.  "make install" with capnproto-java did the trick.  Now I'm running into: ```AttributeError: capnp/schema.c++:493: failed: struct has no such member; name = name``` I'm guessing my RapidWright version is somehow out of sync with something.  I'll check into it later.  Also, I tried setting up fasm2bels on my office computer today, but ran into the same `Could not find a version that satisfies the03:59
sf-slackrequirement rapidyaml (from python-fpga-interchange)` issue mentioned above.  Is there a fix for that?  Thanks!03:59
litghostpip install -r symbiflow-xc-fasm2bels/requirements.txt04:04
sf-slack<jgoeders> OK, yeah, that's what I thought I was doing.  I'll double check next time I'm in the office.04:13
*** tpb has joined #symbiflow05:45
*** hansfbaier has quit IRC05:53
*** HackerFoo1 has quit IRC06:58
*** m_hackerfoo has quit IRC06:59
nickoelitghost: the ci was not completely happy, it seems https://github.com/SymbiFlow/symbiflow-arch-defs/pull/198707:02
*** m_hackerfoo has joined #symbiflow07:03
nickoeFor examples it errors a bit about RapidWright and acapnp file not being found. https://source.cloud.google.com/results/invocations/133de234-2c0a-4092-8d1e-471081bdafbb/targets/foss-fpga-tools%2Fsymbiflow-arch-defs%2Fpresubmit%2Fxc7a200t_vendor/log07:04
*** HackerFoo1 has joined #symbiflow07:10
*** hansfbaier has joined #symbiflow08:14
*** citypw has joined #symbiflow08:43
*** cr1901_modern has quit IRC08:58
*** cr1901_modern has joined #symbiflow09:00
*** smkz has quit IRC09:26
*** smkz has joined #symbiflow09:27
*** craigo has joined #symbiflow10:09
*** hansfbaier1 has joined #symbiflow10:26
*** hansfbaier has quit IRC10:27
lambdasymbiflow_pack fails with "Failed to find matching architecture model for 'PLLE2_BASE'", is that just not supported and I have to use PLLE2_ADV instead?10:51
sf-slack<kgugala> @acomodi @mkurc^^10:52
lambdahm, it's in the techmap, guess yosys just didn't pick up on it for whatever reason?10:52
sf-slack<acomodi> At the moment the architecture does not include the PLLE2_BASE. I think it still needs to be added. PLLE2_ADV is supported though10:53
sf-slack<mkurc> PLLE2_ADV works for sure. If PLLE2_BASE didn't work then probably the techmap in SymbiFlow is incorrect. It should map BASE to ADV in the same way as Vivado does.10:55
lambdaalright, I just expected yosys to a PLLE2_ADV and subsequently a PLLE2_ADV_VPR, that's what cells_map.v says10:55
lambda*to map it to a10:55
lambdaah yeah, there are mismatches in the PLLE2_BASE I/Os between yosys' cells_xtra.v and arch-defs' cells_map.v11:01
sf-slack<mkurc> @lambda Could you file an issue about that on SymbiFlow/symbiflow-arch-defs Github repo so that we won't forget about the mismatch?11:03
lambdawill do11:03
sf-slack<mkurc> thanks11:04
*** hansfbaier1 has quit IRC11:18
-_whitenotifier- [symbiflow-arch-defs] Xiretza opened issue #1991: PLLE2_BASE techmap doesn't match yosys' cells - https://git.io/Jt83Q11:30
lambdaI'm currently trying to get my design to build using only the symbiflow tools (used nextpnr-xilinx previously), so I may have a few more questions and issues in the next few days ;)11:32
lambdalike just now where symbiflow_route fails to route a clock from a PLL to... somewhere (`BLK-TL-CMT_TOP_L_UPPER_T[0].CMT_TOP_R_UPPER_T_PLLE2_CLKOUT3[0]` to `BLK-TL-CLBLL_L[0].CLBLL_L_CLK[0]`) - are there any known issues with clock routing?11:37
sf-slack<acomodi> This might has to do with the fact that global clock buffers auto-inference is disabled. There needs to be an explicit BUFG connected to the clk output of the PLL instantiated at the moment11:40
lambdaah, that makes, sense thanks11:42
nickoelitghost: Anything we can do to satisfy the ci?12:50
*** FFY00 has quit IRC13:00
*** lopsided98 has quit IRC13:00
*** xobs has quit IRC13:00
*** sorear has quit IRC13:00
*** yeti has quit IRC13:00
*** FFY00 has joined #symbiflow13:01
*** lopsided98 has joined #symbiflow13:01
*** lopsided98 has quit IRC13:01
*** xobs has joined #symbiflow13:01
*** sorear has joined #symbiflow13:01
*** yeti has joined #symbiflow13:01
*** lopsided98 has joined #symbiflow13:01
*** futarisIRCcloud has quit IRC13:03
*** LoneTech has quit IRC13:03
*** futarisIRCcloud has joined #symbiflow13:03
*** LoneTech has joined #symbiflow13:03
*** abeljj[m] has quit IRC13:04
*** futarisIRCcloud has quit IRC13:04
*** Niklas[m] has quit IRC13:04
*** futarisIRCcloud has joined #symbiflow13:04
*** xobs has quit IRC13:04
*** infinite_recursi has joined #symbiflow13:05
*** promach3 has quit IRC13:07
*** lopsided98 has quit IRC13:08
*** unrznbl[m] has quit IRC13:08
*** lopsided98 has joined #symbiflow13:09
*** FFY00 has quit IRC13:22
*** abeljj[m] has joined #symbiflow13:31
*** Niklas[m] has joined #symbiflow13:32
*** unrznbl[m] has joined #symbiflow13:37
*** xobs has joined #symbiflow13:39
*** abeljj[m] has quit IRC13:48
*** xobs has quit IRC13:48
*** unrznbl[m] has quit IRC13:48
*** Niklas[m] has quit IRC13:49
*** FFY00 has joined #symbiflow14:05
*** promach3 has joined #symbiflow14:14
lambdaacomodi: it builds now with BUFGs on all the PLL outputs, just doesn't work - looking forward to some incredibly fun debugging14:27
*** infinite_recursi has quit IRC14:29
*** abeljj[m] has joined #symbiflow14:40
*** unrznbl[m] has joined #symbiflow14:40
*** xobs has joined #symbiflow14:40
*** Niklas[m]1 has joined #symbiflow14:40
sf-slack<acomodi> lmabda: you mean doesn't work on HW?14:40
lambdaacomodi: yeah, there aren't really any life signs - will have to investigate and employ some debug LEDs14:50
sf-slack<acomodi> lambda: All right. One thing to check would be timing violations, and, if they exists, how bad they are. Just to be sure, have you applied time constraints in the XDC (or SDC)?14:56
lambdaacomodi: I have a create_clock for all my PLL inputs and outputs, though I think the outputs may actually be induced automatically with this flow?15:07
lambdaat least if `propagate_clocks` in synth.tcl does what I think it does15:08
lambdaiirc routing failed setup timing massively, I assumed that was just a glitch since (1) timing reports with nextpnr were always pretty sketchy and (2) the counter example also failed in a similar fashion15:09
sf-slack<acomodi> Well, you can check if the propagate_clocks did something if, in the build directory you got an <design>.sdc file. That should include all propagated clock constraints for VPR15:10
sf-slack<acomodi> Also, you can check if timing constraints got correctly applied if the `route.log` log file contains a string such as `Applied <N> SDC commands from <SDC file name>`15:12
lambdano, doesn't seem like clocks are actually propagated over PLLs, I'll just continue defining them manually15:16
sf-slack<acomodi> Ok, there is also another thing to do which is add a clock constraint in the XDC to the top level clock port (if you get the clock from there)15:18
sf-slack<acomodi> Sth like `create_clock -period <period> <input_clock_port>`15:19
lambdathat port is only used as my PLL input and I have a create_clock on that15:21
lambda-50000ns sTNS and -20ns sWNS sure would break about everything if those numbers are to be believed ;)15:23
lambdaoops, my bad, I'm actually using the input clock for logic that's shared with the core clock - no surprise that doesn't work15:26
*** citypw has quit IRC15:27
lambdacan vtr show the critical path? I don't think these two clocks share any logic anymore, but they still show up as a huge sWNS after placement16:05
sf-slack<acomodi> I am not sure if this is by default, but VTR can emit a detailed report with the worst paths (report_timing.setup.rpt)16:07
litghostI believe the reports are generated by default16:08
litghostI believe it prints the 100 highest critical path, both setup and hold16:09
lambdasymbiflow_place isn't showing it, I'll look into that option16:11
sf-slack<arvindsrinivasan> Hello! So I’m attempting to install symbiflow from source per this page (https://symbiflow.readthedocs.io/en/latest/symbiflow-arch-defs/docs/source/getting-started.html) and it seems the `make env` stage is failing due to conda not being able to find these packages: ```  - litex-hub::gcc-riscv64-elf-newlib==9.2.0=20201119_154229   - litex-hub::zachjs-sv2v==0.0.5_0025_ge9f9696=20201120_205532   -16:12
sf-slacklitex-hub::vtr-optimized==v8.0.0_3049_g64d15e2dd=20201217_025303   - litex-hub::capnproto-java==0.1.5_0012_g44a8c1e=20201104_165332   - litex-hub::prjxray-tools==0.1_2697_g0f939808=20201120_145821   - litex-hub::prjxray-db==v0.0_243_g057e179=20210119_091808``` Is this to be expected or is there further configuration that would be necessary. On MacOS 10.14.6 doing a clean install.16:12
tpbTitle: Getting Started SymbiFlow (at symbiflow.readthedocs.io)16:12
sf-slack<acomodi> Oh, I mis-read. I don't think that after placement you have this information, you would need to wait for routing16:13
sf-slack<acomodi> Hi @arvindsrinivasan, FYI if your purpose is to just use the toolchain, I suggest you to read from this guide instead: https://symbiflow-examples.readthedocs.io/en/latest/16:16
litghostWe don't have MacOS packages right now16:16
tpbTitle: Welcome to SymbiFlow examples! SymbiFlow examples documentation (at symbiflow-examples.readthedocs.io)16:16
sf-slack<arvindsrinivasan> @acomodi atm, I’ll be doing some work on the toolchain so I was hoping to install it fully rather than the packages16:17
sf-slack<arvindsrinivasan> But yea, understandable if there aren’t MacOS packages. I’ll use a linux vm instead16:17
lambdalitghost: no, nothing from symbiflow_route either - just the WNS per domain16:21
lambdaoh wait, report_timing.setup.rpt is a file, I thought it was an option - that looks promising16:23
litghostYa, it's a file16:24
litghostThere is a way to increase the verbosity of the report if needed16:24
litghostBut I remember the default being ok16:25
lambdayeah, I think I've found my problem16:26
litghostGreat16:30
*** kgugala_ has joined #symbiflow16:41
*** kgugala has quit IRC16:44
*** kgugala__ has joined #symbiflow16:45
*** kgugala_ has quit IRC16:45
*** infinite_recursi has joined #symbiflow16:50
*** infinite_recursi has quit IRC17:01
*** kraiskil has joined #symbiflow17:44
nickoehow goes?17:48
litghostnickoe: I missing something in my fixed yesterday, I'll fix it today17:48
*** SmutLord^ has quit IRC17:49
lambdalitghost: got it semi-working! still failing timing and something is wrong with interrupts, but that may just be because of the former17:50
*** SmutLord^ has joined #symbiflow17:50
litghostlambda: Almost certainly17:50
sf-slack<arvindsrinivasan> Alright, now I have further questions on the install process on Ubuntu 20.10. I’m getting an error at in the install process regarding the rapidyaml package. I can’t seem to find the package on pypi, so has its name changed?17:52
litghostPull latest version17:56
litghostShould be fixed17:56
nickoelitghost: cool :)17:58
*** FFY00 has quit IRC18:02
*** ym_ has quit IRC18:03
*** FFY00 has joined #symbiflow18:04
sf-slack<arvindsrinivasan> Alright @litghost sorry to bother again, but now I’m getting a error building a wheel for rapidyaml since it uses PEP 517 and can’t be installed directly?18:10
litghostError?18:10
sf-slack<arvindsrinivasan> Copy paste is being weird so image18:11
*** nickoe has quit IRC18:23
*** kgugala has joined #symbiflow18:36
*** kgugala__ has quit IRC18:39
sf-slack<arvindsrinivasan> @litghost18:44
litghostWhat?  You didn't paste anything?18:44
sf-slack<mgielda> its visible on slack18:45
sf-slack<mgielda> maybe not on IRC though18:45
sf-slack<mgielda> perhaps pastebin or similar will work @arvindsrinivasan18:45
litghostNothing on IRC18:46
litghostAnyways18:46
litghostarvindsrinivasan: The error is that the build failed18:46
litghostYou need to keep scrolling up18:46
litghostYou copied the wrong error18:46
litghostThe error above say "Failed to build wheel", and then the log above that is why the wheel failed to build18:46
sf-slack<arvindsrinivasan> Alright sorry for the trouble, here is the pastebin https://pastebin.com/L93Dxu4v18:51
tpbTitle: Failed to build rapidyamlPip subprocess error: ERROR: Command errored out - Pastebin.com (at pastebin.com)18:51
sf-slack<arvindsrinivasan> Seems like it fails to find SWIG (Could NOT find SWIG *(*missing: SWIG_EXECUTABLE SWIG_DIR*))*18:53
litghostYep19:32
*** maartenBE has quit IRC19:40
*** maartenBE has joined #symbiflow19:42
*** nickoe has joined #symbiflow20:35
*** kraiskil has quit IRC22:26
nickoelitghost: yo, I am back. (In case you wrote something when I was offline)22:30
*** QDX45 has joined #symbiflow22:58
*** FFY00 has quit IRC23:20
*** hansfbaier has joined #symbiflow23:49
*** FFY00 has joined #symbiflow23:57

Generated by irclog2html.py 2.17.2 by Marius Gedminas - find it at https://mg.pov.lt/irclog2html/!