Monday, 2020-10-12

*** tpb has joined #symbiflow00:00
*** kraiskil has quit IRC00:30
*** az0re has quit IRC00:37
sf-slack<mgielda> I love the name servant01:08
*** goku12 has joined #symbiflow01:13
*** rvalles_ has joined #symbiflow01:29
*** rvalles has quit IRC01:29
*** _whitelogger has quit IRC01:53
*** _whitelogger has joined #symbiflow01:56
*** citypw has joined #symbiflow02:16
*** Degi_ has joined #symbiflow02:21
*** Degi has quit IRC02:21
*** Degi_ is now known as Degi02:22
*** awordnot has quit IRC02:30
*** awordnot has joined #symbiflow02:31
*** goku12 has quit IRC02:36
*** citypw has quit IRC03:13
*** az0re has joined #symbiflow04:48
*** citypw has joined #symbiflow04:58
*** OmniMancer1 has joined #symbiflow05:41
*** OmniMancer has quit IRC05:42
*** proteusguy has quit IRC06:11
*** james_lan has quit IRC06:18
*** kraiskil has joined #symbiflow08:11
*** kraiskil has quit IRC08:18
*** kraiskil has joined #symbiflow09:54
sf-slack<olof.kindgren> @mgielda and if you don't need a full servant soc... https://diode.zone/videos/watch/0230a518-e207-4cf6-b5e2-69cc09411013?start=3m54s10:12
tpbTitle: SERV - Diode Zone (at diode.zone)10:12
sf-slack<pgielda> @olof.kindgren Michael cares about the "ant" in "servant" not "serv" :P10:22
*** kraiskil has quit IRC10:23
sf-slack<olof.kindgren> Haha. Totally missed that one. Guess there's room then for a servantmicro SoC :)10:23
*** alexhw_ has quit IRC10:30
*** alexhw has joined #symbiflow10:30
sf-slack<pgielda> it servant micro an even smaller SoC than servant10:40
sf-slack<pgielda> *its10:40
sf-slack<olof.kindgren> Yeah, just need to figure out how to make it smaller, which isn't trivial at this point. Could also be the servant port for Microsemi's device10:49
*** mkru has joined #symbiflow11:17
mithroDo we know any VHDL supporters who could review https://github.com/im-tomu/fomu-workshop/pull/338 ?11:17
tpbTitle: Add Mixed HDL Blink example by umarcor · Pull Request #338 · im-tomu/fomu-workshop · GitHub (at github.com)11:17
*** citypw has quit IRC11:59
*** citypw has joined #symbiflow12:00
sf-slack<olof.kindgren> @mithro Nothing wrong with the VHDL itself (except maybe using std_ulogic instead of std_logic would be a reasonable choice). The bigger changes I could see were more concerning the language to give VHDL a morr prominent position in the FOSSi landscape and CI/make stuff that I can't comment on12:25
sf-slack<olof.kindgren> Speaking of makefiles, have you considered using some kind of core description format instead of a gazillion makefiles? :)12:26
Loftyolof: You can use GHDL as a plugin module for Yosys12:32
sf-slack<olof.kindgren> @lofty: Yes, I have been meaning to add support for that in edalize12:33
sf-slack<olof.kindgren> But I haven't had time to look into it properly and wasn't sure how upstreamed it all was12:33
LoftyIt's not upstreamed and won't be12:34
sf-slack<olof.kindgren> aha12:34
LoftyYosys core is ISC12:34
LoftyGHDL is GPLv212:34
LoftyYosys is maintained by Symbiotic EDA who sell a version of Yosys with Verific12:35
sf-slack<olof.kindgren> But does it require a fork of yosys, or can I use upstream yosys and just load the ghdlsynth plugin ?12:35
LoftyYou can use upstream yosys, yes12:35
LoftyVHDL upstream would require a different frontend with a more permissive license12:36
sf-slack<olof.kindgren> Then it's good enough for me I think. Just need some time and/or help to get it hooked up properly. Should be pretty straightforward to extend the yosys module in Edalize to do vhdl too12:36
Loftyyosys -m ghdl :P12:36
sf-slack<olof.kindgren> ah ok, and then I can just, I don't know, read_vhdl <file.vhd> ?12:38
sf-slack<olof.kindgren> Looks like I need to compile the ghdlsynth module and find out. I'm just terribly bad at compiling ghdl. Always hit some problem12:38
sf-slack<olof.kindgren> Pushed the servant quickfeather support to a branch. It builds fine after the min efficiency change in symbiflow. Now I just need to wait for my actual board to arrive and finish reviewing the PR for Edalize12:48
mithroFYI - The pull request above is an example of using GHDL + Yosys on the Fomu...12:54
mithroIncluding mixing it with Verilog12:54
sf-slack<olof.kindgren> Ah of course. I didn't look close enough at how it was called12:57
sf-slack<kgugala> @olof.kindgren we also use it in embench-tester for converting microwatt to Verilog (so it can be simulated in Verilator)12:57
sf-slack<olof.kindgren> Got the feeling it was using docker and some extra toolchain12:57
sf-slack<olof.kindgren> @kgugala Ah, sneaky12:57
*** bluecmd[m] has joined #symbiflow13:07
sf-slack<olof.kindgren> Where do I get nextpnr-xilinx btw?13:23
*** OmniMancer1 has quit IRC13:24
sf-slack<kgugala> I think this is the right place https://github.com/daveshah1/nextpnr-xilinx13:24
tpbTitle: GitHub - daveshah1/nextpnr-xilinx: Experimental flows using nextpnr for Xilinx devices (at github.com)13:24
Loftyolof: considering daveshah declared it as (presently) abandoned pending a rewrite, maybe wait a bit there13:30
sf-slack<pgielda> seems to have new commits13:33
sf-slack<olof.kindgren> Can @acomodi or someone else involved with https://github.com/olofk/edalize/pull/193 add some comments on this?13:34
tpbTitle: Add nextpnr xilinx support by kamilrakoczy · Pull Request #193 · olofk/edalize · GitHub (at github.com)13:34
sf-slack<acomodi> @olof.kindgren Sure, I'll look at that13:34
Loftyhttps://twitter.com/fpga_dave/status/131561807554330624013:34
sf-slack<olof.kindgren> Is it worth adding now, or should it wait? It's very close to being merged now13:35
mithroolof: It is worth adding now13:35
*** mkru has quit IRC13:35
sf-slack<olof.kindgren> @mithro : Cool, I'llt take your word for it13:36
sf-slack<olof.kindgren> I'lll try compiling and using it myself before making a decision though13:37
sf-slack<blue> Hello :-). I was browsing around and trying to fit SymbiFlow in my mental model on what the project/collection of projects wants to be. It seems to want to be a tool flow for open FPGA design, is that about correct?14:01
sf-slack<blue> The thing that throws me off a bit is https://github.com/SymbiFlow says "Open source flow for generating bitstreams from Verilog." which seems to limit the mission to synthesis only and specifically Verilog14:02
tpbTitle: SymbiFlow · GitHub (at github.com)14:02
sf-slack<blue> https://symbiflow.github.io paints a much grander vision, so I'd suspect the GitHub mission is just outdated?14:05
tpbTitle: SymbiFlow - the GCC of FPGAs (at symbiflow.github.io)14:05
LoftyWell, the problem is that Symbiflow isn't really "the GCC of FPGAs". What it *is* is... pretty tricky to define honestly14:11
LoftyMaybe I'd describe it more as "the binutils of FPGAs"14:11
LoftyThe GitHub description fits what it does right now much better14:13
sf-slack<olof.kindgren> I'd call it the GNU toolchain of FPGA. It pulls together a bunch of tools + glue to make a fulll end-to-end flow14:21
sf-slack<blue> Right, but does that flow include e.g. simulation and VHDL? Maybe this is all too vauge, but what I'm looking for is a place to discuss interactions between tools, a place to file issues to track when two tools have friction, or when a tool simply doesn't exist yet.14:46
sf-slack<blue> And maybe SymbiFlow is that place, or maybe that place is FOSSi Foundation somehow, or maybe it's a place that doesn't exist yet :-).14:47
*** kraiskil has joined #symbiflow15:02
*** OmniMancer has joined #symbiflow15:21
*** citypw has quit IRC15:35
*** OmniMancer has quit IRC16:00
*** OmniMancer has joined #symbiflow16:01
*** OmniMancer has quit IRC16:02
litghostblue: Our initial language targets are Verilog and SystemVerilog, and simulation support is included in scope16:58
litghostblue: I'd say what you are asking about fits in the scope, all though it might not be something we are actively working on16:59
litghostblue: As a concrete example, as part of the Xilinx Unisim open sourcing, we are working to verify that simulation tools can parse and use those models17:01
litghostblue: We have https://github.com/SymbiFlow/ideas which is a grab bag where friction issues belong so they can be tracked and not forgotten17:02
tpbTitle: GitHub - SymbiFlow/ideas: Random ideas and interesting ideas for things we hope to eventually do. (at github.com)17:02
*** kgugala has quit IRC17:44
*** kgugala has joined #symbiflow17:49
*** kraiskil has quit IRC18:00
*** kgugala_ has joined #symbiflow18:10
*** kgugala has quit IRC18:14
sf-slack<blue> litghost: thanks, that's interesting. what is the process to get something into the ideas repository?18:44
sf-slack<blue> the template looks a bit scary, so I don't want to just file something straight from my mind18:45
litghostblue: It's just a github issue.  The template is just a suggestion, but I do think you should take the time to fill out the sections you can.18:46
litghostblue: But it is editable, so you could start a stub and fill it out, if that helps you18:46
*** promach3 has quit IRC19:16
*** unrznbl[m] has quit IRC19:16
*** xobs has quit IRC19:16
*** bluecmd[m] has quit IRC19:16
*** lopsided98 has quit IRC19:21
*** lopsided98 has joined #symbiflow19:23
*** xobs has joined #symbiflow19:25
*** unrznbl[m] has joined #symbiflow19:45
*** bluecmd[m] has joined #symbiflow19:45
*** promach3 has joined #symbiflow19:45
*** kraiskil has joined #symbiflow20:03
sf-slack<blue> good to know, thanks20:13
sf-slack<blue> you say "it's just a github issue" but I have been yelled at for not filling in templates correctly before, so :)20:14
LoftyThe template is for like the 80% of things20:16
litghostblue: There is a difference between being yelled at, and respectly asking for clarification.  The template is there to ask the questions that will likely be asked if you don't answer them first!20:20
*** OmniMancer has joined #symbiflow20:24
litghostblue: I'm sorry that you've had bad situations with github issues in the past.  I'd like to believe if you raise an issue in one of the symbiflow projects in good faith, it would be responded to in good faith as well.20:24
*** OmniMancer has joined #symbiflow20:25
sf-slack<blue> Sounds good to me :)20:46
-_whitenotifier-f- [ideas] bluecmd opened issue #58: Community issue / bounty tracker - https://git.io/JTLrV21:00
sf-slack<olof.kindgren> @blue Perhaps you are thinking about the FuseSoC issue tracker, where I demand a sacrifice before you are deemed worthy to submit an issue21:21
sf-slack<olof.kindgren> Not sure it worked out that well in the end though. Now I have a ton of goats and firstborn children I'm not sure what to do with21:22
sf-slack<olof.kindgren> @mithro FYI, I got three SERV cores into the Quickfeather now. Boards haven't arrived yet but they build fine and pass timing21:29
Loftyolof: I suppose you could feed the goats to the firstborn children21:30
LoftyThat's half your problems solved21:30
sf-slack<olof.kindgren> @lofty: That would solve some issues21:32
sf-slack<olof.kindgren> Four SERV cores would require an additional 100 PB-LOGIC to fit. Maybe doable, but probably hard so I'll settle with three21:35
*** kraiskil has quit IRC22:34
*** synaption[m] has joined #symbiflow23:41

Generated by irclog2html.py 2.17.2 by Marius Gedminas - find it at https://mg.pov.lt/irclog2html/!