Sunday, 2020-10-11

*** tpb has joined #symbiflow00:00
*** jsatx has joined #symbiflow00:58
*** jsatx has left #symbiflow01:05
*** OmniMancer has joined #symbiflow01:07
*** Degi has quit IRC02:24
*** Degi has joined #symbiflow02:26
*** citypw has joined #symbiflow02:53
*** citypw has quit IRC03:06
*** citypw has joined #symbiflow03:07
*** emilazy_ has joined #symbiflow04:51
*** ric96_ has joined #symbiflow04:53
*** emilazy has quit IRC04:54
*** ric96 has quit IRC04:54
*** emilazy_ is now known as emilazy04:54
*** ric96_ is now known as ric9604:54
*** citypw has quit IRC05:23
*** shivam has joined #symbiflow05:34
*** _whitelogger has quit IRC05:39
*** _whitelogger has joined #symbiflow05:41
*** epony has quit IRC07:13
sf-slack<olof.kindgren> @lofty. It does, but I don't think there's anything in it that maps well to a 2-bit wide memory. And implementing it as logic is too large. Will need to massage the memory interface a bit to make it 8-bit instead08:04
sf-slack<olof.kindgren> Think it should be parameterizabe already come to think of it...08:04
sf-slack<olof.kindgren> Will have to give it a try later today08:12
*** az0re has joined #symbiflow08:26
*** kraiskil has joined #symbiflow08:58
*** epony has joined #symbiflow09:08
*** kraiskil has quit IRC09:12
*** aep has joined #symbiflow10:06
aephi, is there a getting started doc for ecp5?10:06
daveshahhttps://github.com/ulx3s/quick-start has some good pointers10:07
tpbTitle: GitHub - ulx3s/quick-start: ULX3S Quick Start (at github.com)10:07
aepthanks. might be useful. (i have a versa 5g tho)10:09
*** citypw has joined #symbiflow11:10
*** shivam has quit IRC11:23
*** salarii has joined #symbiflow11:35
sf-slack<olof.kindgren> @aep There's no support yet for the Versa board in project LED to Believe. That could be a good starting task12:37
sf-slack<olof.kindgren> https://github.com/fusesoc/blinky12:37
tpbTitle: GitHub - fusesoc/blinky: Example LED blinking project for your FPGA dev board of choice (at github.com)12:37
aepsf-slack: hah, thats a great idea12:39
*** FFY00 has quit IRC12:53
*** FFY00 has joined #symbiflow12:53
sf-slack<olof.kindgren> And from there it's just a tiny tiny step to be running the world's smallest RISC-V CPU. :)13:09
sf-slack<olof.kindgren> And after that it's just a tiny tiny step to run dozens of RISC-V CPUs on the board at once13:11
Loftyolof: Do you have a corescore for the Cyclone 10 GX?13:13
*** salarii has quit IRC13:48
*** salarii has joined #symbiflow13:56
*** aep has quit IRC14:19
*** smkz has quit IRC14:38
*** benreynwar has quit IRC14:38
*** smkz has joined #symbiflow14:39
*** benreynwar has joined #symbiflow14:39
*** maartenBE has quit IRC14:42
*** maartenBE has joined #symbiflow14:43
*** OmniMancer has quit IRC15:28
sf-slack<olof.kindgren> Not yet15:30
sf-slack<olof.kindgren> But just extrapolating from the stratix v results I reckon it could fit 24000 cores. But that number could be wildly off15:31
sf-slack<olof.kindgren> For the GX 10M I mean15:32
LoftyI have like a 220K ALM board, so15:41
sf-slack<olof.kindgren> Interesting. Should we find out? :)15:45
LoftyWhen I get home in a few days, sure16:09
sf-slack<olof.kindgren> Awesome. Just ping me if you need any assistance16:39
*** citypw has quit IRC16:58
*** salarii has quit IRC17:12
*** kraiskil has joined #symbiflow17:51
*** salarii has joined #symbiflow18:15
*** goku12 has joined #symbiflow18:15
sf-slack<olof.kindgren> Aha. Seems like the BRAM pass in yosys thinks it's to inefficient to implement as memory, so it rejects it19:21
sf-slack<olof.kindgren> Any way to set the `min efficiency`? Can I do it with a TCL command, or is it hard coded in the source? Can I use a verilog attribute to make it use a RAM?19:22
sf-slack<kgugala> @olof.kindgren is this project available anywhere so we can play with it19:22
sf-slack<kgugala> Or maybe a minimal RAM example19:22
sf-slack<olof.kindgren> It's SERV19:23
sf-slack<olof.kindgren> But the quickfeather target isn't available yet19:23
sf-slack<olof.kindgren> This is the file https://github.com/olofk/serv/blob/master/rtl/serv_rf_ram.v19:24
tpbTitle: serv/serv_rf_ram.v at master · olofk/serv · GitHub (at github.com)19:24
sf-slack<olof.kindgren> The particular configuration I look at uses width=8, csr_regs=4 which gives depth=144 and a total number of used bits will be 115219:27
sf-slack<olof.kindgren> Yosys has this to say ```  Checking rule #2 for bram type $__QUICKLOGIC_RAMB8K (variant 1):     Bram geometry: abits=10 dbits=8 wports=0 rports=0     Estimated number of duplicates for more read ports: dups=1     Metrics for $__QUICKLOGIC_RAMB8K: awaste=880 dwaste=0 bwaste=7040 waste=7040 efficiency=14     Rule #2 for bram type $__QUICKLOGIC_RAMB8K (variant 1) rejected: requirement 'min efficiency 50' not met.```19:27
sf-slack<olof.kindgren> efficiency=14 comes from 1152/819219:27
sf-slack<olof.kindgren> Personally, I'd say that's a bit too much to require 4096 bits to be used19:28
sf-slack<olof.kindgren> Since it's a small FPGA I'd reckon perhaps 64 or 12819:28
sf-slack<olof.kindgren> But I have no idea what the usual trade-off is here19:29
sf-slack<olof.kindgren> Looking now at a yosys log from the ice40 backend where it seems to accept 7% efficiency19:31
sf-slack<kgugala> so the mapping rules are defined here https://github.com/QuickLogic-Corp/yosys/blob/quicklogic-rebased/techlibs/quicklogic/pp3_brams.txt19:31
tpbTitle: yosys/pp3_brams.txt at quicklogic-rebased · QuickLogic-Corp/yosys · GitHub (at github.com)19:31
sf-slack<kgugala> and efficiency there is set to 5019:31
sf-slack<kgugala> you can try play with it19:32
sf-slack<olof.kindgren> Got it. Is this compiled, or can I just change this file in my installation?19:32
sf-slack<kgugala> yep19:32
sf-slack<kgugala> the script uses external txt file https://github.com/QuickLogic-Corp/yosys/blob/quicklogic-rebased/techlibs/quicklogic/synth_quicklogic.cc#L17019:32
tpbTitle: yosys/synth_quicklogic.cc at quicklogic-rebased · QuickLogic-Corp/yosys · GitHub (at github.com)19:32
Loftykgugala: I'd strongly recommend turning efficiency way down. 5% is probably sufficient19:45
LoftyIIRC QuickLogic don't have LUTRAM19:46
LoftyWhich means, like a 4095-bit memory is going to be turned to flops19:46
sf-slack<olof.kindgren> https://github.com/QuickLogic-Corp/yosys/pull/3419:47
tpbTitle: Allow less efficient use of BRAM resources by olofk · Pull Request #34 · QuickLogic-Corp/yosys · GitHub (at github.com)19:47
sf-slack<olof.kindgren> And the servant SoC now fits in the quickfeather :)19:47
sf-slack<olof.kindgren> That's it for tonight19:48
sf-slack<kgugala> yeeey19:48
sf-slack<kgugala> @lofty turning it down makes perfect sense, thanks for the tip19:49
LoftyHow's ABC9 going?19:52
*** salarii has quit IRC20:04
*** arturswiderski82 has joined #symbiflow20:11
arturswiderski82quit20:17
*** salarii has joined #symbiflow20:18
*** salarii has quit IRC20:19
*** salarii has joined #symbiflow20:20
*** OmniMancer has joined #symbiflow20:22
*** arturswiderski82 has quit IRC20:24
*** goku12 has quit IRC20:35
*** salarii has quit IRC20:44
*** kraiskil has quit IRC21:39
*** kraiskil has joined #symbiflow22:01
*** alexhw_ has joined #symbiflow22:01
*** alexhw has quit IRC22:03
*** goku12 has joined #symbiflow22:04
*** kraiskil has quit IRC22:36
*** kraiskil has joined #symbiflow23:03
*** guest46 has joined #symbiflow23:15
*** salarii has joined #symbiflow23:16
*** salarii has joined #symbiflow23:19
*** guest46 has quit IRC23:36
*** goku12 has quit IRC23:48

Generated by irclog2html.py 2.17.2 by Marius Gedminas - find it at https://mg.pov.lt/irclog2html/!