Wednesday, 2020-06-17

*** tpb has joined #symbiflow00:00
-_whitenotifier-f- [fpga-tool-perf] HackerFoo opened issue #155: Re-running a test gives incorrect timing results - https://git.io/Jf55000:12
-_whitenotifier-f- [fpga-tool-perf] HackerFoo opened issue #156: Vivado and nextpnr don't accept the same XDC constraint files - https://git.io/Jf55u00:13
-_whitenotifier-f- [conda-env-make] mithro opened issue #2: Add tests - https://git.io/Jf55Q00:29
-_whitenotifier-f- [conda-env-make] mithro opened issue #3: Add a Makefile.template file. - https://git.io/Jf55500:29
-_whitenotifier-f- [fpga-tool-perf] HackerFoo opened issue #157: Add design: Rocket Linux LiteX SoC with LiteDRAM and LiteEth (200T) - https://git.io/Jf5dW00:42
*** FFY00 has quit IRC01:03
*** FFY00 has joined #symbiflow01:05
*** craigo has quit IRC01:11
*** futarisIRCcloud has joined #symbiflow01:20
*** _whitelogger has quit IRC01:57
*** _whitelogger has joined #symbiflow01:59
*** Degi has quit IRC02:18
*** Degi has joined #symbiflow02:19
*** rvalles_ has joined #symbiflow02:28
*** rvalles has quit IRC02:28
-_whitenotifier-f- [conda-env-make] mithro opened issue #5: Figure out how to test the cygwin + mingw Windows versions - https://git.io/Jf5Nj02:50
-_whitenotifier-f- [python-symbiflow-v2x] mithro opened issue #65: Port to using conda-env-make - https://git.io/Jf5AF03:25
*** citypw has joined #symbiflow03:56
-_whitenotifier-f- [conda-env-make] mithro opened issue #6: Solve disk space reporting on Mac OS X - https://git.io/Jf5xr04:06
mithrohttps://www.quicklogic.com/qorc/ !05:15
tpbTitle: QuickLogic Open Reconfigurable Computing (QORC) Open Source tools | QuickLogic Corporation (at www.quicklogic.com)05:15
sorear!05:21
*** lopsided98 has quit IRC07:41
*** lopsided98 has joined #symbiflow07:42
*** OmniMancer1 has joined #symbiflow08:23
*** OmniMancer has quit IRC08:26
*** OmniMancer has joined #symbiflow08:26
*** OmniMancer1 has quit IRC08:28
-_whitenotifier-f- [conda-env-make] mithro opened issue #7: Fix the Windows build - https://git.io/JfdJR08:29
*** kraiskil has joined #symbiflow08:58
-_whitenotifier-f- [vtr-verilog-to-routing] acomodi opened issue #517: New Lookahead map status - https://git.io/JfdTu08:59
*** tcal has quit IRC09:39
*** tcal has joined #symbiflow09:53
-_whitenotifier-f- [fpga-tool-perf] acomodi opened issue #158: Fix Vivado-Yosys tests - https://git.io/JfdLi10:02
-_whitenotifier-f- [fpga-tool-perf] acomodi opened issue #159: Get fasm2bels to run also for nextpnr bitstreams - https://git.io/JfdLQ10:08
*** proteus-guy has joined #symbiflow10:43
*** goldfinger has joined #symbiflow13:02
*** goldfinger has quit IRC13:29
*** josi has quit IRC14:40
*** josi has joined #symbiflow14:41
-_whitenotifier-f- [fpga-tool-perf] HackerFoo opened issue #162: Documentation for each of the designs tested - https://git.io/Jfdla14:58
*** andrewb1999 has joined #symbiflow15:33
andrewb1999Does anyone know of an open source DMA axi ip?  Or has anyone been able to get DMA working on a zynq device?15:35
LoftyIf it's from anybody, it'll be from ZipCPU15:36
ZipCPUo/15:36
ZipCPUhttps://github.com/ZipCPU/wb2axip/blob/master/rtl/axidma.v15:37
tpbTitle: wb2axip/axidma.v at master · ZipCPU/wb2axip · GitHub (at github.com)15:37
andrewb1999ZipCPU: Thanks!15:38
ZipCPUIf you like it, feel free to support me on Patreon: https://www.patreon.com/ZipCPU15:38
tpbTitle: Dan Gisselquist is creating FPGA tools | Patreon (at www.patreon.com)15:38
*** gsmecher has joined #symbiflow15:47
*** az0re has quit IRC16:11
-_whitenotifier-f- [yosys-symbiflow-plugins] JakubJatczak opened issue #19: Add support for building on Windows - https://git.io/Jfd0Y16:31
*** OmniMancer has quit IRC16:31
*** kamyar has joined #symbiflow16:33
*** kamyar is now known as Kamy16:34
*** Kamy is now known as kamy16:34
*** kamy has quit IRC16:36
*** kamyar has joined #symbiflow16:37
*** tcal has quit IRC16:39
*** kamyar has quit IRC16:48
*** kamyar has joined #symbiflow16:48
*** tcal has joined #symbiflow16:53
*** citypw has quit IRC16:54
tntTrying to rebulding the S3 timing database using ff_1p210v variant instead of ss_0p990v ... curious to see what that'll be like.16:58
*** az0re has joined #symbiflow17:04
-_whitenotifier-f- [symbiflow-arch-defs] mithro opened issue #1533: Port to using conda-env-make - https://git.io/JfdEX17:07
-_whitenotifier-f- [sv-tests] mithro opened issue #878: Port to using conda-env-make - https://git.io/JfdEy17:08
-_whitenotifier-f- [fpga-tool-perf] mithro opened issue #163: Convert to using conda-env-make - https://git.io/JfdEQ17:09
-_whitenotifier-f- [fpga-tool-perf] acomodi opened issue #164: Fix fasm2bels issues when running with nextpnr - https://git.io/JfdzY17:44
*** mkru has joined #symbiflow17:47
*** mkru has quit IRC17:52
tntI have no idea how realistic it is to expect those timings to reflect reality, but it would make for a much nicer device :p18:15
tntI went from a -36 ns slack violation to a 8 ns slack met.18:16
sf-slack<kgugala> tnt: the ff timings is the fast corner18:16
tntYeah, I figured :p and at 1.210v18:17
sf-slack<kgugala> yep18:17
*** andrewb1999 has quit IRC18:42
tntkgugala: btw, I get a lot of stuff like "Warning 4483: Timing edge from usb_I.tx_pkt_I.shift_data_$_DFFE_PP__Q_D_$lut_Y.t_frag.XSL[0] to usb_I.tx_pkt_I.shift_data_$_DFFE_PP__Q_D_$lut_Y.t_frag.XZ[0] will not be created since usb_I.tx_pkt_I.shift_data_$_DFFE_PP__Q_D_$lut_Y.t_frag.XZ[0] has been identified as a clock generator"19:12
tntand the "Netlist contains 191 clocks" doesn't inspire confidence either ...19:13
*** gromero has joined #symbiflow19:23
gromeroHi. Does symbiflow support currently the BRAM found in ECP5 FPGAs?19:24
daveshahYes19:24
daveshahthe only thing not supported is inference the true dual port mode19:25
gromerodaveshah: would you mind to point me to some doc on how to use it?19:25
daveshahNot sure of anything specific, look for simple BRAM patterns in whatever HDL you are using19:25
gromerodaveshah: you mean that it's not necessary any specific kind of construct or attribute (let's say, in Verilog) so a BRAM is synthesized? How do I know the BRAM is inferred correctly for instance?19:30
daveshahno, you can look for DP16KD in the output19:30
daveshahif you want to force BRAM you can use the (* syn_ramstyle="block_ram" *) attribute on the memory19:31
daveshahas in Diamond19:31
gromerodaveshah: got it, thanks a lot19:36
gromerodaveshah: btw, how do you usually "read" the many synthesis output from yosys & friends, like I would like to see all generated circuit down to the FFs used and their connections. Is is possible? It's quite hard to read the $nets too ...19:39
daveshahHave a look at the show command19:39
daveshahhttps://www.youtube.com/watch?v=a2sLfoinQds19:39
gromerodaveshah: awesome, that's what I was looking for!. thx.19:44
*** _whitelogger has quit IRC20:00
*** _whitelogger has joined #symbiflow20:02
*** perillamint_ has joined #symbiflow20:11
*** ZirconiumX has joined #symbiflow20:13
*** ronyrus_ has joined #symbiflow20:14
*** Lofty has quit IRC20:18
*** yeti has quit IRC20:18
*** perillamint has quit IRC20:18
*** daddesio has quit IRC20:18
*** ronyrus has quit IRC20:18
*** perillamint_ is now known as perillamint20:18
*** ZirconiumX is now known as Lofty20:22
*** yeti has joined #symbiflow20:24
*** daddesio has joined #symbiflow20:25
*** y2kbugger has quit IRC20:34
*** elms has quit IRC20:36
*** gromero has quit IRC20:39
*** ovf has quit IRC20:40
*** y2kbugger has joined #symbiflow20:42
*** andrewb1999 has joined #symbiflow20:42
*** perillamint has quit IRC20:45
andrewb1999litghost: Do you think it would be feasible to support synth IO tiles with multiple IPINS or OPINS?  Specifically for routing multiple clocks into an roi like region20:45
*** perillamint has joined #symbiflow20:47
*** y2kbugger has quit IRC20:47
*** y2kbugger has joined #symbiflow20:52
*** gromero has joined #symbiflow20:52
*** kraiskil has quit IRC20:56
*** perillamint has quit IRC20:56
*** y2kbugger has quit IRC21:24
*** OmniMancer has joined #symbiflow21:25
*** ovf has joined #symbiflow21:37
*** y2kbugger has joined #symbiflow21:39
*** perillamint has joined #symbiflow21:41
*** FFY00 has quit IRC21:56
*** FFY00 has joined #symbiflow21:56
*** elms has joined #symbiflow22:06
-_whitenotifier-f- [python-symbiflow-v2x] mithro opened issue #67: muxes/use_mux.sim.v test - https://git.io/JfdXp23:39
*** OmniMancer1 has joined #symbiflow23:43
*** andrewb1999 has quit IRC23:46
*** OmniMancer has quit IRC23:47

Generated by irclog2html.py 2.17.2 by Marius Gedminas - find it at https://mg.pov.lt/irclog2html/!