Friday, 2020-06-05

*** tpb has joined #symbiflow00:00
sf-slack<timo.callahan> @kgugala @pzierhoffer, do you know if the tflite zephyr magic wand demo has been tested on the Arty board at your end recently?   I tried going through the demo again just now -- the Renode sim worked as expected, but so far I haven't been able to get any reaction out of the actual HW board, not even "Angle" (L) shape.   That *did* work for me the first time I went through the demo, a couple of months ago.   Of00:01
sf-slackcourse my accelerometer might have gone bad or something like that.   But just wondering if you'd tested on an Arty board recently.    Thanks!00:01
sf-slack<timo.callahan> P.S. I do get the "4 bytes lost due to alignment...." and "Got accelerometer..." messages, just nothing after that from the board.00:02
*** kuldeep has quit IRC00:08
*** synaption[m] has quit IRC00:11
*** promach3 has quit IRC00:11
*** promach3 has joined #symbiflow00:13
*** synaption[m] has joined #symbiflow00:13
*** kuldeep has joined #symbiflow00:20
*** gsmecher has quit IRC00:35
*** craigo has quit IRC02:00
*** _whitelogger has quit IRC02:05
*** _whitelogger has joined #symbiflow02:07
*** Degi has quit IRC02:42
*** Degi has joined #symbiflow02:43
sf-slack<timo.callahan> @kgugala I did find a bug in Edalize around passing synth options to Yosys.   I'll report it on their github.02:56
*** ZipCPU has quit IRC03:13
*** ZipCPU has joined #symbiflow03:13
*** craigo has joined #symbiflow03:55
sf-slack<kgugala> @timo.callahan are you talking about the bug from Wednesday? I already fixed it and opened a PR in edalize04:26
sf-slack<kgugala> as for the demo - it is important to hold the board correctly so the accelerometer axis are oriented as the neural network model expects04:27
sf-slack<timo.callahan> @kgugala Ah, I see the PR at edalize, thank you!05:37
*** OmniMancer1 has joined #symbiflow07:46
*** OmniMancer has quit IRC07:49
*** OmniMancer1 has quit IRC08:03
*** OmniMancer has joined #symbiflow08:04
*** OmniMancer1 has joined #symbiflow08:07
*** OmniMancer has quit IRC08:08
*** duck26 is now known as duck208:57
*** futarisIRCcloud has quit IRC09:52
*** kraiskil has joined #symbiflow10:21
*** tnt has joined #symbiflow11:20
tntSo, I've been trying to build the quicklogic toolchain for the past 4hours or so. I don't want conda anywhere near me so I added -DUSE_CONDA=false. Also it seems a lot of the dependencies are only needed for XC7 which I couldn't care less about so I've tried stripping most of theses. And finally I don't want anything to do with nodejs either which I'm hoping is optional.11:22
tntNow I'm hit with "PYTHON3_TARGET is empty for target env, check target definition." and I'm not even sure what PYTHON3_TARGET is supposed to store.11:22
*** lopsided98 has quit IRC11:28
*** ovf[m] has joined #symbiflow11:29
*** lopsided98 has joined #symbiflow11:29
*** ovf[m] is now known as olegfink11:30
*** olegfink has joined #symbiflow11:31
*** OmniMancer has joined #symbiflow12:00
*** OmniMancer1 has quit IRC12:03
*** kraiskil has quit IRC12:17
tntHuh so after many efforts, the 'make file_build_quicklogic_techmap_cells_sim.v' worked ... and generated ./techmap/cells_sim.v ...12:26
tntand then what ?12:26
*** kraiskil has joined #symbiflow12:33
*** kraiskil has quit IRC12:53
*** craigo has quit IRC13:34
shaprdaveshah: I'm sad to hear about lattice trying to prevent reverse engineering, is there some effort to describe to them how much that will hurt their business?13:40
*** kraiskil has joined #symbiflow13:52
*** futarisIRCcloud has joined #symbiflow14:11
shapris there some effort to create an explicit open-ness agreement with an FPGA vendor?14:27
shaprI'd expect Intel to open up Altera completely14:27
*** citypw has joined #symbiflow14:36
HackerFoo tnt: This might help with `-DUSE_CONDA=FALSE`: https://github.com/HackerFoo/nix-symbiflow/blob/master/patches/symbiflow-arch-defs.patch14:45
tpbTitle: nix-symbiflow/symbiflow-arch-defs.patch at master · HackerFoo/nix-symbiflow · GitHub (at github.com)14:45
*** citypw has quit IRC14:49
*** kgugala_ has joined #symbiflow14:49
*** kgugala__ has joined #symbiflow14:52
*** kgugala has quit IRC14:52
*** kgugala_ has quit IRC14:56
*** kraiskil has quit IRC14:59
tntHackerFoo: yeah, I managed to get it to build (with way more hacking than that to remove all the dependencies that are not needed for quicklogic) ... but something still fails and the generated xml is somehow invalid https://pastebin.com/raw/c4Mn2ixM15:28
sf-slack<kgugala> tnt: which repo did you use? What exactly did you run?15:36
tntkgugala: quicklogic/quicklogic-upstream-rebase  branch quicklogic/quicklogic-upstream-rebase (which is also tag v0.1.0)15:42
tnthttps://github.com/QuickLogic-Corp/symbiflow-arch-defs/tree/quicklogic-upstream-rebase15:42
tpbTitle: GitHub - QuickLogic-Corp/symbiflow-arch-defs at quicklogic-upstream-rebase (at github.com)15:42
tntcmake .. -DCMAKE_INSTALL_PREFIX=/my/prefix -DUSE_CONDA=false15:42
sf-slack<kgugala> can you try the guide from https://github.com/QuickLogic-Corp/symbiflow-arch-defs/tree/quicklogic-upstream-rebase/quicklogic15:44
tpbTitle: symbiflow-arch-defs/quicklogic at quicklogic-upstream-rebase · QuickLogic-Corp/symbiflow-arch-defs · GitHub (at github.com)15:44
sf-slack<kgugala> it still assumes conda for getting VPR (and some other tools)15:44
tntNo because I don't want conda15:44
sf-slack<kgugala> It's hard to tell why the xml is incorrect in your case15:46
tntI also don't want nodejs or any of the dependencies that are just needed for the ice40 or the xilinx support ...15:46
sf-slack<kgugala> since you do not use the dependencies from conda this could easily be a version issue15:46
tnthttps://pastebin.com/hQwsj1CX15:46
tpbTitle: 2336,2339c2336,2339 < < (at pastebin.com)15:46
tntHere's a snippet of difference between the xml generated and the one extracted from the binary distribution.15:47
sf-slack<kgugala> if you simplly run make all_conda it will put evertyhing in build/conda directory and will not pollute your system15:47
sf-slack<kgugala> later you can simply remove that15:47
*** gsmecher has joined #symbiflow15:48
*** FFY00 has quit IRC16:15
*** FFY00 has joined #symbiflow16:16
*** kgugala__ has quit IRC16:28
*** kgugala has joined #symbiflow16:44
*** az0re has quit IRC17:22
HackerFootnt: If you use Nix, you could try https://github.com/HackerFoo/nix-symbiflow17:41
tpbTitle: GitHub - HackerFoo/nix-symbiflow: Nix packages for SymbiFlow projects and dependencies (at github.com)17:41
HackerFooOtherwise, you need to make sure you have the right dependencies. The biggest one is getting the right VPR.17:42
HackerFooAll the dependencies are documented in a fairly readable form in https://github.com/HackerFoo/nix-symbiflow/blob/master/default.nix17:44
tpbTitle: nix-symbiflow/default.nix at master · HackerFoo/nix-symbiflow · GitHub (at github.com)17:44
tntI have the right VPR. Same git commit.17:44
tntATM it seems like yosys is the issue ...17:44
tntThe f_frag.model.xml that's generated from the f_frag.sim.v is invalid.17:45
*** futarisIRCcloud has quit IRC17:51
*** kraiskil has joined #symbiflow17:57
*** kraiskil has quit IRC18:16
tnthttps://pastebin.com/GYzbEqi718:28
tpbTitle: command ======================================================================= - Pastebin.com (at pastebin.com)18:28
tntThat's the difference ...18:29
tntThe yosys I'm using is a merged version of master with the quicklogic branch (because I need the latest fixes for  ice40/ecp5).  there was no conflict, clean rebase but it's obviously broken.18:29
tnterr, wrong paste but same idea https://pastebin.com/yeBaSQ8L18:31
tpbTitle: command ======================================================================= - Pastebin.com (at pastebin.com)18:31
*** az0re has joined #symbiflow18:39
*** futarisIRCcloud has joined #symbiflow18:43
tntIt's the (* whitebox *)18:45
tntin the source .v that mess up newer yosys ... or at least makes it behave in a way that doesn't work.18:46
sf-slack<kgugala> I'm afraid there will be more problems with mainline yosys18:46
sf-slack<kgugala> the V2X (xml arch generation from verilog models) requires a few features not yet merged to mainline18:46
sf-slack<kgugala> they are present in symbiflow's fork18:47
tntit's not mainline, it's the quicklogic branch merged with master.18:47
*** epony has quit IRC18:47
sf-slack<kgugala> so this one may actually work18:49
tntThe quick logic branch is a bunhc of patch above c9555c9adeba886a308c60615ac794ec20d9276e which is from 3 months ago. So what I'm using is just pretty much all the patch above that rebased on today's master.18:49
sf-slack<kgugala> unless nothing else broke18:50
tntwell it "almost" does ...except that the (*whitebox*) attribute seem to prevent yosys from "selecting" stuff in that module (which means v2x fails to discover the clocked and comb path ) see pastebin above18:50
sf-slack<kgugala> actually whitebox should act the oppsite - Yosys should be able to get inside the module and figure out the internals18:52
sf-slack<kgugala> is this the only file that fails?18:52
tntNo, it's just the first18:52
sf-slack<kgugala> as far as I remember there were a lot of whiteboxes18:52
tntOh yeah, I'm pretty sure it fails for all of them.18:53
sf-slack<kgugala> so removing the attributes may get you a little bit further, but I doubt it will work18:54
sf-slack<kgugala> whitebox attribute handling is gereic Yosys functionality (not related to QL specific changes)18:54
daveshahI think there was a way added of selecting inside whiteboxes18:57
daveshah> By default, patterns will not match black/white-box modules or theircontents. To include such objects, prefix the pattern with '='.18:57
daveshahwould be needed in the scripts for new Yosys18:58
tntdaveshah: Oh, interesting.19:02
tntOf course just replaceing F1 with =F1 wasn't good enough. That got rid of "Warning: Selection "F1" did not match any object." but the selection result (as written to file) is empty.19:05
*** epony has joined #symbiflow19:14
tntgot it ... maybe https://pastebin.com/V16dVPWP19:15
tpbTitle: [Diff] diff --git a/v2x/yosys/run.py b/v2x/yosys/run.py index ef2627e..b6f3746 100755 - - Pastebin.com (at pastebin.com)19:15
tntWell that got it further .... now it just seems to hang on "Generating rr_graph_ql-eos-s3_wlcsp.rr_graph.real.patched.xml.cache, rr_graph_ql-eos-s3_wlcsp.rr_graph.real.bin, rr_graph_ql-eos-s3_wlcsp.place_delay.bin"19:29
sf-slack<kgugala> this takes some time19:29
sf-slack<kgugala> but you need to do this once, later the toolchain will use the generated files19:30
tntok, letting it run. I just see vpr taking 100% of cpu and not printing anything to vpr_stdout.log atm.19:32
tntAh yeah, it completed successfully it seems.19:32
tnttrying the bin2seven now19:33
tntI'm a bit worried about the time it takes to build a 93 lines verilog file though.19:35
sf-slack<kgugala> if you're running it from arch-defs it takes longer19:36
sf-slack<kgugala> binary toolchain takes ~40 seconds to synth, pack, place and route and generate the bitstream19:37
sf-slack<kgugala> (for such design)19:37
tntOk, it did build sucessfully (well without errors, I have no idea if the result is valid).19:39
sf-slack<kgugala> you'd have to program the FPGA19:39
tntDon't have one, so can't do that :p19:39
tntThere seem to be a load of warning like "Warning 1: Model 'ASSP' input port 'WBs_RD_DAT' has no timing specification (no clock specified to create a sequential input port, not combinationally connected to any outputs, not a clock input)19:40
tntis that expected ?19:40
sf-slack<kgugala> or run bin2seven_bit_v target and simulate the resulting verilog19:40
sf-slack<kgugala> this one decompiles the bitstream and generates a structural verilog from it19:40
sf-slack<kgugala> as for the warining - yes it is expected19:41
sf-slack<kgugala> (for now)19:41
tntSo I guess no timing analysis for the RAM or the wishbone bridge ?19:45
sf-slack<kgugala> RAM timings are in progress here https://github.com/antmicro/symbiflow-arch-defs/tree/ram_timings19:46
tpbTitle: GitHub - antmicro/symbiflow-arch-defs at ram_timings (at github.com)19:46
sf-slack<kgugala> ASSP (Hard CPU with the WB bridge) is next19:46
tntOk great. First thing I'd like to do is port my USB core to it ... so RAM and cpu bridge are kind of important :p19:47
sf-slack<kgugala> indeed19:48
sf-slack<kgugala> :)19:48
tntmm, something is still broken somewhere in the install ... I see "-- Installing: /opt/openfpga/vtr/share/arch/ql-eos-s3_wlcsp/pinmap.csv"  4 times during make install19:51
tntSo it's overwriting each pinmap over the same destination which is ... not what's supposed to happen I guess.19:51
sf-slack<kgugala> I don't think this is a real problem - it wants to install the pin config for every board we degined in the arch-defs19:53
sf-slack<kgugala> all of them have the same chip package19:54
sf-slack<kgugala> so even if it is everwting sth - it should not break anything19:54
sf-slack<kgugala> but I'll check that19:54
tntIn the binary distribution I see PU64_pinmap.csv / chandalar_pinmap.csv / PD64_pinmap.csv / WR42_pinmap.csv19:55
sf-slack<kgugala> the binary disro was created from arch-defs19:56
sf-slack<kgugala> I'll definitely have to check this19:57
sf-slack<kgugala> thanks for pointing19:57
*** kuldeep has quit IRC19:58
*** gsmecher has quit IRC20:20
*** FFY00 has quit IRC20:52
*** FFY00 has joined #symbiflow20:53
*** OmniMancer has quit IRC20:54
*** ryancj14 has joined #symbiflow20:58
*** gsmecher has joined #symbiflow21:16
*** andrewb1999 has joined #symbiflow21:52
andrewb1999Has anyone seen this error with VPR placement before? : place_macro.cpp:135 find_all_the_macro: Assertion 'cluster_ctx.clb_nlist.net_sinks(curr_net_id).size() == 1' failed.21:52
*** andrewb1999 has quit IRC21:56
*** az0re has quit IRC22:37
*** FFY00 has joined #symbiflow22:43
-_whitenotifier-f- [sv-tests] wsnyder opened issue #853: New uvm-agent tests are not legal; need UVM first - https://git.io/Jf16Z22:58
*** FFY00 has quit IRC23:18
*** FFY00 has joined #symbiflow23:19
*** craigo has joined #symbiflow23:36

Generated by irclog2html.py 2.17.2 by Marius Gedminas - find it at https://mg.pov.lt/irclog2html/!