Tuesday, 2020-04-07

*** tpb has joined #symbiflow00:00
*** sparshgupta has quit IRC00:03
*** sparshgupta has joined #symbiflow00:05
*** sparshgupta has left #symbiflow00:05
*** acomodi has quit IRC00:06
*** Degi_ has joined #symbiflow00:40
*** Degi has quit IRC00:43
*** Degi_ is now known as Degi00:43
*** Vonter has quit IRC01:22
*** Vonter has joined #symbiflow01:34
*** wavedrom1 has joined #symbiflow01:49
*** Degi has quit IRC01:55
*** wavedrom has quit IRC02:05
*** wavedrom has joined #symbiflow02:06
*** Degi has joined #symbiflow02:13
*** citypw has joined #symbiflow02:14
*** az0re has quit IRC02:45
*** az0re has joined #symbiflow03:33
*** Bertl_oO is now known as Bertl_zZ04:09
*** wavedrom has quit IRC04:15
*** nonlinear has quit IRC04:17
*** _whitelogger has quit IRC04:30
*** _whitelogger has joined #symbiflow04:32
*** _whitelogger has quit IRC04:39
*** _whitelogger has joined #symbiflow04:41
*** wavedrom has joined #symbiflow04:51
*** nonlinear has joined #symbiflow05:01
*** wavedrom has quit IRC05:19
*** wavedrom has joined #symbiflow05:38
daniellimwsmithro: Am I right to say everything inside https://github.com/SymbiFlow/symbiflow-arch-defs/tree/master/vpr are meant to be test cases for VPR?06:27
tpbTitle: symbiflow-arch-defs/vpr at master · SymbiFlow/symbiflow-arch-defs · GitHub (at github.com)06:27
*** wavedrom has quit IRC06:32
*** OmniMancer has joined #symbiflow06:42
*** OmniMancer1 has quit IRC06:45
mithrodaniellimws: Common building blocks for creating VPR architectures07:14
mithrotimo.callahan: Take a look at the https://github.com/SymbiFlow/symbiflow-arch-defs/tree/master/xc/xc7/tests/soc/litex07:15
tpbTitle: symbiflow-arch-defs/xc/xc7/tests/soc/litex at master · SymbiFlow/symbiflow-arch-defs · GitHub (at github.com)07:15
mithrohttps://github.com/SymbiFlow/symbiflow-arch-defs/tree/master/xc/xc7/tests/soc/litex/mini_ddr07:15
tpbTitle: symbiflow-arch-defs/xc/xc7/tests/soc/litex/mini_ddr at master · SymbiFlow/symbiflow-arch-defs · GitHub (at github.com)07:15
mithroBed time for me07:16
*** tcal has quit IRC08:00
*** tcal has joined #symbiflow08:03
*** kraiskil has joined #symbiflow08:04
-_whitenotifier-3- [symbiflow-arch-defs] acomodi opened issue #1400: Diff tests fail for BRAM test 36 - https://git.io/JvA4x08:21
*** Vonter has quit IRC09:31
*** Vonter has joined #symbiflow09:48
*** Bertl_zZ is now known as Bertl10:06
*** adjtm_ has quit IRC10:36
*** adjtm has joined #symbiflow10:42
*** adjtm has joined #symbiflow10:43
*** kraiskil has quit IRC11:12
*** rvalles has quit IRC12:27
*** rvalles has joined #symbiflow12:39
*** rvalles has quit IRC12:44
*** rvalles has joined #symbiflow12:46
daniellimwsmithro: Adding to my question earlier, are the makefiles under vpr/muxes https://github.com/SymbiFlow/symbiflow-arch-defs/tree/master/vpr/muxes/logic meant to generate the pbxml files from the verilog sources, hence the purpose of the test cases? Asking because I was not able to generate the xml files, and not exactly sure what the verilog sources are for13:50
tpbTitle: symbiflow-arch-defs/vpr/muxes/logic at master · SymbiFlow/symbiflow-arch-defs · GitHub (at github.com)13:50
*** kraiskil has joined #symbiflow14:10
mithrodaniellimws: The code to convert verilog to pbxml has moved to https://github.com/SymbiFlow/python-symbiflow-v2x14:20
tpbTitle: GitHub - SymbiFlow/python-symbiflow-v2x: Tool for converting specialized annotated Verilog models into XML needed for Verilog to Routing flow. (at github.com)14:20
mithrodaniellimws: Test cases for that conversion tool at under https://github.com/SymbiFlow/python-symbiflow-v2x/tree/master/tests14:20
tpbTitle: python-symbiflow-v2x/tests at master · SymbiFlow/python-symbiflow-v2x · GitHub (at github.com)14:20
daniellimwsmithro: So should I still implement test cases under vpr/ in symbiflow-arch-defs?14:26
mithrodaniellimws: Depends on what you are trying to do?14:31
mithroApologize if you have told me previously, I have a pretty bad memory14:31
daniellimwsHmm, now just finding somewhere I can contribute to while learning more about FPGA primitives14:31
daniellimwsI suppose this isn't the best place?14:31
mithrodaniellimws: Expanding out the examples in v2x could be a good place to look into (which would be under https://github.com/SymbiFlow/python-symbiflow-v2x/tree/master/tests)14:32
tpbTitle: python-symbiflow-v2x/tests at master · SymbiFlow/python-symbiflow-v2x · GitHub (at github.com)14:32
mithrodaniellimws: If you are looking at potentially writing cocotb tests, I would suggest looking at the xc7 primitives for LUTRAM / BRAM14:33
daniellimwsmithro: I'm wondering if cocotb tests are needed for vpr design sources? I don't see any in that repo. Or are they good to have but no one has added them yet?14:35
mithrodaniellimws: However a bunch of the v2x functionality is broken for xc7 primitives14:35
mithrodaniellimws: cocotb tests are probably not needed for the stuff under the vpr directory -- that would be more feeling out how the cocotb tests should be integrated14:36
daniellimwsmithro: Could you suggest any primitives that I can add? And how would I verify that they are correct?14:39
*** citypw has quit IRC14:42
*** OmniMancer has quit IRC14:58
*** Bertl is now known as Bertl_oO15:00
*** bunnie[m] has left #symbiflow15:05
mithrodaniellimws: I think it is mostly verifying primitives at the moment rather than adding them15:06
mithroacomodi: ping?15:07
mithroacomodi: Why is there an empty directory here -> https://github.com/SymbiFlow/symbiflow-arch-defs/tree/master/xc/common/primitives/ramb18e115:07
tpbTitle: symbiflow-arch-defs/xc/common/primitives/ramb18e1 at master · SymbiFlow/symbiflow-arch-defs · GitHub (at github.com)15:07
*** nrossi has left #symbiflow15:08
*** nrossi has quit IRC15:08
mithrodaniellimws: https://github.com/SymbiFlow/symbiflow-arch-defs/tree/master/xc/common/primitives/bram15:08
tpbTitle: symbiflow-arch-defs/xc/common/primitives/bram at master · SymbiFlow/symbiflow-arch-defs · GitHub (at github.com)15:08
mithrodaniellimws: https://github.com/SymbiFlow/symbiflow-arch-defs/tree/master/xc/common/primitives/slicem15:09
tpbTitle: symbiflow-arch-defs/xc/common/primitives/slicem at master · SymbiFlow/symbiflow-arch-defs · GitHub (at github.com)15:09
sf-slack<acomodi> @mithro: I believe those are not used anymore? They have been moved to https://github.com/SymbiFlow/symbiflow-arch-defs/tree/master/xc/common/primitives/bram15:11
tpbTitle: symbiflow-arch-defs/xc/common/primitives/bram at master · SymbiFlow/symbiflow-arch-defs · GitHub (at github.com)15:11
-_whitenotifier-3- [symbiflow-arch-defs] mithro opened issue #1401: Empty directories with just CMakefiles? - https://git.io/JvAM615:11
sf-slack<acomodi> probably a leftover during the refactoring of the xc7 tree15:11
sf-slack<acomodi> Uncaught by CI as the ramb18e1 and rambfifo36 subdirectories were not included in the CMakeLists.txt15:12
-_whitenotifier-3- [symbiflow-arch-defs] mithro opened issue #1402: Broken documentation for dram - https://git.io/JvAM915:13
mithroacomodi: We should add something in the CI which detects CMakeLists.txt files which are not included.15:14
-_whitenotifier-3- [symbiflow-arch-defs] mithro opened issue #1403: Add CI to detect CMakeLists.txt files which are not used / dead - https://git.io/JvAM515:14
*** kraiskil has quit IRC15:16
*** kraiskil has joined #symbiflow15:28
daniellimwsmithro: Are you suggesting that I add the bram and slicem primitives to https://github.com/SymbiFlow/python-symbiflow-v2x/tree/master/tests ?15:49
tpbTitle: python-symbiflow-v2x/tests at master · SymbiFlow/python-symbiflow-v2x · GitHub (at github.com)15:49
mithrodaniellimws: We should figure out why v2x isn't being used for those primitives, fix the v2x and add them as tests to prevent regression15:52
*** kraiskil has quit IRC16:00
*** kraiskil has joined #symbiflow16:00
*** proteus-guy has quit IRC16:01
*** wavedrom has joined #symbiflow16:03
daniellimwsmithro: The xml files inside https://github.com/SymbiFlow/symbiflow-arch-defs/tree/master/xc/common/primitives/bram and etc are generated by vendor tools, right? And we want to add tests that generate xml from verilog using v2x, then compare the ones generated by vendor tools with the ones by v2x. Am I getting the right idea?16:03
tpbTitle: symbiflow-arch-defs/xc/common/primitives/bram at master · SymbiFlow/symbiflow-arch-defs · GitHub (at github.com)16:03
*** adjtm_ has joined #symbiflow16:04
*** adjtm has quit IRC16:06
*** ZipCPU has quit IRC16:07
*** wavedrom has quit IRC16:09
*** wavedrom has joined #symbiflow16:10
*** ZipCPU has joined #symbiflow16:14
-_whitenotifier-3- [symbiflow-arch-defs] kgugala opened issue #1405: Add an cmake option to disable a200t installtion - https://git.io/JvA9f16:17
*** proteus-guy has joined #symbiflow16:27
*** kraiskil has quit IRC17:05
*** kraiskil has joined #symbiflow17:10
lambdaI'm trying to use nextpnr for an xc7a35tfgg484-1 - I see that package is already in the database for the xc7a50t, how much effort would it be to adapt that for the 35?17:31
ZirconiumXdaveshah: ^17:34
daveshahThis is a prjxray issue, not a nextpnr one17:35
daveshahIt shouldn't be a big patch at all, copy all the files and just change the idcode though17:35
daveshahAfter all they are the same silicon17:35
lambdawait, which ones, all the 35t's or the 35tfgg484 and the 50tfgg484?17:36
daveshahAll of the above afaik17:36
daveshahI don't think there are any genuinely 35t parts17:37
lambdahuh, didn't know that17:37
daveshahThe number is made up anyway, it's a LUT4 equivalence so 0.625 LUT6s per notional unit or thereabouts17:38
ZirconiumXIt's funny to compare across vendors. Altera/Intel use 0.4 ALM LUT6s per LUT4, because of the input overprovisioning17:39
ZirconiumXIt's still a made-up number, but the architectural difference is fun17:40
*** Bertl_oO is now known as Bertl17:55
*** kraiskil has quit IRC18:47
litghostlambda: We have a short cut for adding 35T/50T parts because of the same underlying silicon, see here: https://github.com/SymbiFlow/prjxray/blob/759b4c700d1db7cb096c9c6bcf62c55aecfa07f3/Makefile#L182-L18519:28
tpbTitle: prjxray/Makefile at 759b4c700d1db7cb096c9c6bcf62c55aecfa07f3 · SymbiFlow/prjxray · GitHub (at github.com)19:28
litghostlambda: But what daveshah said is basically what is happening19:29
litghostlambda: Feel free to submit a PR adding packages you are interested in19:30
lambdalitghost: sorry, I can't figure out where the extra parts are actually coming from (granted I don't really know much about the xray infrastructure at all). the only mentions of e.g. "csg324" I can find are in minitests/, which from my understanding is just used for testing, not the actual database.20:05
litghostI linked where the extra parts are coming from20:09
litghostEach line that calls into "make -C fuzzer roi_only" is for a new part using a piece of silicon already documented20:09
litghostSo we have tilegrid address for the A50T (35T as well) and A200T silicon, but only a subset of the packages for each20:10
lambdalitghost: so the xc7a35tcsg324-1 part is created using some Arty minitests?20:17
litghostSort of.  The arty minitests define XRAY_PART as xc7a35tcsg324-1, XRAY_EQUIV_PART as c7a50tfgg484-1 (e.g. which tilegrid/tileconn to use) plus some example IO's for use with the fuzzer20:20
litghostSee https://github.com/SymbiFlow/prjxray/blob/master/minitests/roi_harness/arty-common.sh20:20
tpbTitle: prjxray/arty-common.sh at master · SymbiFlow/prjxray · GitHub (at github.com)20:20
litghostXRAY_PIN_00 should a CCIO pin20:24
lambdalitghost: oh, I see now, roi_only does all the real magic20:27
litghostlambda: Ya.  That target name is poorly named, but yes20:28
lambdalitghost: so mashing together settings/artix7.sh for the fgg484 pins and minitests/roi_harness/arty-common.sh for the EQUIV_PART stuff should do?20:32
litghostYa20:32
litghostThere is an example of this with the A200T here: https://github.com/SymbiFlow/prjxray/blob/759b4c700d1db7cb096c9c6bcf62c55aecfa07f3/Makefile#L182-L18520:32
tpbTitle: prjxray/Makefile at 759b4c700d1db7cb096c9c6bcf62c55aecfa07f3 · SymbiFlow/prjxray · GitHub (at github.com)20:32
litghostWhich is what I linked you earlier20:32
lambdaright, just didn't really know what was going on there without the background info - thanks, I'll have a go at that then :)20:33
litghostIf you make a PR, maybe a nice comment would be good :)20:33
*** futarisIRCcloud has joined #symbiflow20:34
lambdasure20:34
*** OmniMancer has joined #symbiflow21:23
*** futarisIRCcloud has quit IRC22:43
tcallitghost: with https://github.com/SymbiFlow/symbiflow-arch-defs/tree/master/xc/xc7/tests/soc/litex/base, does the Linux image need to either be flashed or be transferred over tftp?   Or can it be transferred over the serial connection (i.e. using lxterm)?23:49
litghosttcal: Not sure.  We should update the readme with specific instructions23:50
tcalOh, I think I need to dig deeper into https://github.com/timvideos/litex-buildenv/wiki/Linux23:51
tpbTitle: Linux · timvideos/litex-buildenv Wiki · GitHub (at github.com)23:51
tcalThe other demo I ran was using buildroot/ to build the Linux images.  I think this is a different method.23:53

Generated by irclog2html.py 2.17.2 by Marius Gedminas - find it at https://mg.pov.lt/irclog2html/!