Tuesday, 2020-03-17

*** tpb has joined #symbiflow00:00
*** wallacejohn has quit IRC00:13
*** lopsided98 has quit IRC00:24
*** HEGAZY has quit IRC00:25
*** lopsided98 has joined #symbiflow00:26
wavedromHi All. I am new to channel.00:30
wavedromIs it a good place to discuss ideas for GSOC?00:30
ZirconiumXSure00:35
ZirconiumXEverybody else has :P00:35
ZirconiumXwavedrom: ^00:35
*** wallacejohn has joined #symbiflow00:40
wavedromdoes SymbiFlow has official UI of some sort?00:41
*** balmlake has joined #symbiflow00:44
wavedromI am kinda Visual guy. I like all sort of diagrams, schematics, charts00:44
wavedromI was even thinking about some sort of IDE-EDA https://github.com/drom/atom-ide-eda00:48
tpbTitle: GitHub - drom/atom-ide-eda: Atom as IDE for EDA (at github.com)00:48
duck2i think even the UI-less flow is unofficial right now00:57
ZirconiumXwavedrom: Depends what you want as a UI.01:05
ZirconiumXnextpnr has a GUI01:05
ZirconiumXSo you can explore a design while routing it01:05
ZirconiumXYosys does not, but...it's kinda questionable how it would benefit from it01:06
wavedromwell, Synplify Pro was my favorite FPGA synthesis tool just because of good RTL view https://electronix.ru/forum/uploads/monthly_01_2014/post-45001-1391180774.png01:11
wavedromand netlist view too01:13
wavedromthis is a good start https://github.com/nturley/netlistsvg01:18
tpbTitle: GitHub - nturley/netlistsvg: draws an SVG schematic from a JSON netlist (at github.com)01:18
-_whitenotifier-3- [ideas] drom opened issue #41: RTL Schematic View - https://git.io/JvP9G01:38
*** citypw has joined #symbiflow01:40
ZirconiumXwavedrom: nextpnr can produce something like it01:44
wavedromcan you send a link?02:31
ZirconiumXhttps://github.com/daveshah1/nextpnr-xilinx02:31
tpbTitle: GitHub - daveshah1/nextpnr-xilinx: Experimental flows using nextpnr for Xilinx devices (at github.com)02:31
wavedromscreenshot?02:34
ZirconiumXhttps://twitter.com/q3k/status/102462371016523776002:36
ZirconiumXhttps://twitter.com/gojimmypi/status/109009787670792601602:36
*** Bertl_oO is now known as Bertl_zZ03:26
*** balmlake has quit IRC03:27
*** wallacejohn has quit IRC04:30
*** Vonter has quit IRC04:50
*** balmlake has joined #symbiflow04:58
*** Vonter has joined #symbiflow05:47
*** balmlake has quit IRC06:27
wavedromit is not exactly a schematic06:48
wavedromit is more like topology06:48
*** OmniMancer has joined #symbiflow07:30
*** OmniMancer1 has joined #symbiflow07:31
*** OmniMancer has quit IRC07:34
*** wavedrom has quit IRC07:39
*** clay_1 has joined #symbiflow08:22
clay_1Hello!08:45
*** Bertl_zZ is now known as Bertl09:12
*** _whitelogger has quit IRC10:06
*** _whitelogger has joined #symbiflow10:08
*** rjordans has joined #symbiflow10:20
clay_1litghost Sorry for yet another late reply. I have done the following trying to tackle the issue:11:51
clay_1I open a terminal and try to issue the PYTHONPATH like in the test but without the CMAKE -E env doing the following11:53
clay_1`PYTHONPATH=  symbiflow-arch-defs/build/env/conda/lib/python3.7/site-packages:             symbiflow-arch-defs/third_party/prjxray:             symbiflow-arch-defs/third_party/prjxray/third_party/fasm:             symbiflow-arch-defs/xc7:             symbiflow-arch-defs/utils              symbiflow-arch-defs/build/env/conda/bin/python3`11:53
ZirconiumXHi clay_111:53
clay_1ZirconiumX heyy :)11:54
clay_1This opens a python3 environment (if I am not mistaken)11:54
clay_1and there I type the following11:54
clay_1`-mfasm2bels --connection_database /home/michailm/phd/symbiflow/symbiflow-arch-defs/build/xc7/archs/artix7/devices/xc7a50t-basys3-roi-virt/channels.db--db_root /home/michailm/phd/symbiflow/symbiflow-arch-defs/third_party/prjxray-db/artix7 --part xc7a35tcpg236-1--fasm_file /home/michailm/phd/symbiflow/symbiflow-arch-defs/top.bit.fasm--top top11:56
clay_1/home/michailm/phd/symbiflow/symbiflow-arch-defs/top_bit.v /home/michailm/phd/symbiflow/symbiflow-arch-defs/top_bit.v.tcl`11:56
clay_1By putting all the arguements considered as necessary in the readme11:56
*** rjordans has quit IRC11:57
clay_1this gives me `IndentationError: unexpected indent`11:58
clay_1I was tried removing arguements that the error was coming fro untill It reached a point it was pointing to `-mfasm2bels`11:59
clay_1Any Idea what I might be doing wrong ?11:59
*** rjordans has joined #symbiflow11:59
sf-slack<tmichalak> clay_1: this error indicates an indentation problem in the fasm2bels.py script or any scripts used by it. Do you have a more complete error message?12:15
clay_1Is there any way I can get more complete error message ?12:17
clay_1It sais `  File "<stdin>", line 1` and then an arrow pointing at `mfasm2bels`12:18
clay_1but that was after removing some arguements. when I had them all on it was the same error on the first letter of the address of the `.tcl` file declaration12:19
*** OmniMancer1 has quit IRC12:19
sf-slack<acomodi> clay_1: One thing I suggest is to use the complete flow. Take an example from the `xc7/tests`  (such as counter for example) and add your test there12:20
clay_1`xc7/tests/counter` looks to me like having design source files in verilog and a constraint file. How will that help me run fasm2bels? So far by litghost's help I have run the `make dram_test_64x1d_bit_v` and from there I saw how it used the fasm2bels12:28
clay_1but since then I had no sucess12:29
sf-slack<acomodi> clay_1: Yeah, I mean, you could reproduce the test you are trying to make with your own test.12:30
*** az0re has quit IRC12:30
clay_1If I make a folder with my hdl + constraint file and then do a `make my_test` ?12:31
sf-slack<acomodi> exactly12:31
clay_1Thank you, thats a nice idea!12:32
sf-slack<acomodi> You can for instance copy the counter test folder, name it as you want, change the hdl, CMakeLists and constraints file12:32
clay_1The remaining problem will be that I will still not be able to ultimately go from a bitstream to bels and thats my actual goal12:33
sf-slack<acomodi> I see... the fact is that fasm2bels was meant to be a verification method of the whole flow, and mainly for debugging purposes. It is possible to use it for your goals for instance, but it may result in troubles you have experienced12:40
clay_1oh that makes a lot of sense actually12:44
clay_1First I was trying to use the `fasm2pips.py` from the project xray but it turned out to have a bug and thats why i turned to `fasm2bels` since it felt like its a superset of it12:46
*** HEGAZY has joined #symbiflow12:47
clay_1I just realized that the conda environment used was not the one created by the `make all_conda`. I think I fixed that and now I will try everything all over13:32
*** mkru has joined #symbiflow14:04
*** HEGAZY has quit IRC14:26
clay_1I finally had some progress!15:13
sf-slack<acomodi> clay_1: great to hear that15:14
clay_1I think that I am able to run fasm2bels for the dram_test_64x1d independently of the make15:14
clay_1then i tried to run with with only the arguements in the fasm2bels readme but I got errors which this time are much more constructive. I started tackling them from teh bottom15:15
clay_1the error was `in append_ibuf_iostandard_params    if "SSTL135" in iosettings["IOSTANDARD"]:`15:16
clay_1so i added the arguement `--iostandard LVCMOS33`15:16
clay_1and this got me the following error15:17
clay_1`IOSTANDARD+DRIVE+SLEW settings provided for IOB_X0Y2 do not match their counterparts decoded from the fasmRequested: IOSTANDARD=LVCMOS33, DRIVE=NoneCandidates are: IOSTANDARD        | DRIVE  | SLEW |-------------------|--------|------| LVTTL             | 16     | SLOW | LVTTL             | 12     | SLOW | LVCMOS33          | 16     | SLOW |15:17
clay_1LVCMOS33          | 12     | SLOW |`15:17
sf-slack<acomodi> If I recall correctly that is not an error, but a warning15:17
clay_1oh that would be great !15:19
clay_1I will check the results and see if they make sense15:19
*** Bertl is now known as Bertl_oO15:24
*** rjordans has quit IRC15:39
*** citypw has quit IRC16:01
*** az0re has joined #symbiflow16:13
*** balmlake has joined #symbiflow16:41
*** abeljj[m] has quit IRC16:49
*** abeljj[m] has joined #symbiflow16:49
*** Degi has joined #symbiflow19:04
DegiHi, I'm interested in the Summer of Code and have a PCIe interface for the ECP5 in nMigen in mind, though I'm open for other projects too19:06
*** wallacejohn has joined #symbiflow19:15
*** clay_1 has quit IRC19:29
ZirconiumXDegi: https://github.com/whitequark/yumewatari19:30
tpbTitle: GitHub - whitequark/Yumewatari: 妖刀夢渡 (at github.com)19:30
DegiYes I think I'd start with that as a base, though that project had no updates since 16 months19:32
ZirconiumXUseful reference if nothing else19:33
Degi(Also its in migen, I'd rather use nMigen, but yes, I learned a bunch from reading through the source code of that project a while ago)19:34
ZirconiumXMigrating from migen to nmigen is not that difficult as such19:59
DegiYes, there's also litepcie which does the TLP20:09
*** OmniMancer has joined #symbiflow20:15
mithroDegi: working on a PCIe interface is not a *small* task, so any student taking that on will need to have to show a pretty strong understanding of what needs to be done -- the fact you have already seen yumewatari and talking about LitePCIe and TLP is a good sign...20:46
*** miek has joined #symbiflow20:52
*** balmlake has quit IRC20:52
DegiHm I've read parts of the PCIe spec and to me what seems missing is the data link layer and I guess Yumewatari needs some work on (and translation to nMigen)20:56
sorearafaik the problem with people picking that up is that debugging anything that goes wrong will be a challenge without a very expensive oscilloscope20:59
DegiHm you could use a second FPGA to analyze the data sent out by the SERDESs21:00
-_whitenotifier-3- [ideas] drom opened issue #42: render LUT diagram - https://git.io/JvXBp21:01
*** balmlake has joined #symbiflow21:02
sorearprobably "put a LED on the board, and get very experienced with forming specific hypotheses / translating those hypotheses into HDL that turns on the LED if you're right/wrong"21:03
DegiI mean you could hook the second FPGA with UART or so up to a PC and log the data that arrives21:04
DegiAlthough I've done that a few times too, the dev board has 8 LEDs and its kinda OK for basic feedback21:09
daveshahOne of the problems with yumewatari was tuning the analog parameters of the serdes (which have very limited documentation)21:28
DegiHm could that be figured out with error rate tests and trial and error?21:29
daveshahYes, but that could be quite a bit of work21:31
daveshahIt would likely need several different motherboards to test too21:31
DegiWell I have 3 here21:33
DegiHm could the values be reverse-engineered from something that lattice diamond creates? (I've never used that program yet)21:33
*** balmlake has quit IRC21:33
daveshahYes, that provides a starting point, I think that's what wq did21:34
DegiI guess that's where all the undocumented values are from21:34
*** HEGAZY has joined #symbiflow22:12
*** HEGAZY has quit IRC22:36
*** mkru has quit IRC22:47
*** futarisIRCcloud has quit IRC22:48
*** wallacejohn has quit IRC22:53
mithroDegi: _florent_ in #litex has a lot of experience getting the high speed transceivers in FPGAs to play nice22:54
mithroDegi: He worked on https://github.com/enjoy-digital/usb3_pipe which should work on both ECP5 and Artix 722:55
tpbTitle: GitHub - enjoy-digital/usb3_pipe: USB3 PIPE interface for Xilinx 7-Series / Lattice ECP5 (at github.com)22:55
mithroDegi: azonenberg has been doing a lot of work with getting things like eye diagrams out of devices too22:58
DegiThanks! florent seems to be currently not in the channel though.23:09

Generated by irclog2html.py 2.17.2 by Marius Gedminas - find it at https://mg.pov.lt/irclog2html/!