Sunday, 2020-03-15

*** tpb has joined #symbiflow00:00
*** wallacejohn has quit IRC00:05
*** wallacejohn has joined #symbiflow00:28
-_whitenotifier-3- [sv-tests] alainmarcel opened issue #701: Blackparrot bp_top test file list as an issue - https://git.io/Jviwn01:18
*** OmniMancer has joined #symbiflow01:42
*** OmniMancer1 has joined #symbiflow01:43
*** OmniMancer has quit IRC01:46
*** wallacejohn has quit IRC02:09
*** wallacejohn has joined #symbiflow02:10
*** citypw has joined #symbiflow02:41
*** Bertl is now known as Bertl_zZ03:02
*** Vonter has joined #symbiflow03:20
*** az0re has joined #symbiflow03:23
*** Vonter has quit IRC04:46
*** Vonter has joined #symbiflow05:05
*** _whitelogger has quit IRC06:21
*** _whitelogger has joined #symbiflow06:23
*** _whitelogger has quit IRC07:54
*** yusef has joined #symbiflow07:54
*** _whitelogger has joined #symbiflow07:56
*** yusef has quit IRC08:06
*** _whitelogger has quit IRC08:12
*** _whitelogger has joined #symbiflow08:14
*** proteus-guy has quit IRC08:40
*** yusef has joined #symbiflow10:19
yusefHello everyone, i want to get started with Gsoc projects on FPGA. how should i get started?10:21
hackerfooyusef: https://summerofcode.withgoogle.com/organizations/6224851964002304/ https://github.com/SymbiFlow/ideas/issues10:33
tpbTitle: Issues · SymbiFlow/ideas · GitHub (at github.com)10:33
*** Bertl_zZ is now known as Bertl10:57
yusefand after selecting the ideas?11:21
sf-slack<pgielda> "Proposals accepted starting on March 16, 2020" -- so starting tomorrow its possible to apply11:24
sf-slack<pgielda> also read https://symbiflow.github.io/summer-of-code11:25
tpbTitle: SymbiFlow - the GCC of FPGAs (at symbiflow.github.io)11:25
*** HEGAZY has joined #symbiflow11:25
*** HEGAZY has quit IRC11:27
*** yusef has left #symbiflow11:31
*** yusef57 has joined #symbiflow11:32
*** yusef57 has quit IRC11:34
*** yusef has joined #symbiflow11:35
*** _whitelogger has quit IRC11:42
*** _whitelogger has joined #symbiflow11:44
*** clay_1 has joined #symbiflow11:50
*** rvalles_ is now known as rvalles13:02
*** OmniMancer1 has quit IRC13:04
*** yusef has quit IRC13:15
sf-slack<yusef.iqbal44> thank you very much for your replies13:16
sf-slack<yusef.iqbal44> I was wondering to work on "Support Xilinx XC9500XL CPLD series" project... and I want to contribute to it13:22
*** Bertl is now known as Bertl_oO13:25
ZirconiumXyusef.iqbal44: I'm pretty sure that needs a copy of ISE13:27
sf-slack<yusef.iqbal44> xilinx ise?13:28
ZirconiumXYep13:32
ZirconiumXGenerally Vivado doesn't support any of their CPLDs13:32
*** clay_1 has quit IRC13:54
sf-slack<yusef.iqbal44> yup, you're damn right14:23
*** Alaa has joined #symbiflow14:49
*** Alaa is now known as Guest3060114:49
*** citypw has quit IRC14:51
*** clay_1 has joined #symbiflow14:53
*** Vonter has quit IRC15:17
*** Vonter has joined #symbiflow15:19
*** Vonter has joined #symbiflow15:22
*** proteus-guy has joined #symbiflow15:30
*** Guest30601 has quit IRC17:13
*** zkms has quit IRC18:39
*** gruetzkopf has quit IRC18:39
*** xvilka has quit IRC18:39
*** _xvilka_ has joined #symbiflow18:39
*** gruetzkopf has joined #symbiflow18:39
*** zkms has joined #symbiflow18:44
*** clay_1 has quit IRC18:48
*** Alaa has joined #symbiflow19:03
*** Alaa is now known as Guest1591819:03
Guest15918Hi I have found that SymbiFlow has some interesting projects that I want to participate in kindly inform me where to start19:11
ZirconiumXWell, there's a github repo for it19:17
ZirconiumXAlthough you should pick a different nickname19:17
ZirconiumXhttps://github.com/Symbiflow/ideas/issues19:17
tpbTitle: Issues · SymbiFlow/ideas · GitHub (at github.com)19:17
ZirconiumXThat being said, there's a lot here that is missing19:18
*** Guest15918 is now known as Alaa50119:31
*** fengling has quit IRC19:36
*** TheHolyC has quit IRC19:36
*** hackerfoo has quit IRC19:36
*** TheHolyC has joined #symbiflow19:36
*** hackerfoo has joined #symbiflow19:36
*** fengling has joined #symbiflow19:37
Alaa501I have already red some of the projects written there and I found "Getting started with embedded RISC-V tutorial" as the most interesting.19:37
ZirconiumXI mean, ecosystem work is useful19:39
ZirconiumXDefinitely not what I would have picked, but my opinion doesn't matter much19:39
Alaa501I found it interesting thought .... what types of projects do you recommend working on19:42
ZirconiumXThe main area I work on is a) Intel and b) Yosys19:46
ZirconiumXBecause FOSS support for Intel chips is genuinely awful19:46
ZirconiumXBut there are a bunch of things that Yosys could use19:47
ZirconiumX(IMO)19:47
ZirconiumXMostly my focus is on LUT mapping19:50
Alaa501interesting topics, however now I'm most interested about the FPGA's and RISC V  and want to learn more about it.20:06
Alaa501 although I'm a beginner level but I'm willing to learn kindly provide any related learning materials if you know any20:06
*** heijligen has quit IRC20:30
*** sf-slack has quit IRC20:30
*** felix_ has quit IRC20:30
*** flokli has quit IRC20:30
*** sf-slack has joined #symbiflow20:30
*** felix_ has joined #symbiflow20:30
*** heijligen has joined #symbiflow20:31
*** flokli has joined #symbiflow20:32
ZirconiumXAlaa501: Yosys is what produces a netlist for your FPGA; you're going nowhere without it :P20:40
ZirconiumXBut for RISC-V you should study the instruction set manual if you haven't already20:41
ZirconiumXThere's also #riscv20:41
*** wavedrom has quit IRC21:53
*** wavedrom has joined #symbiflow22:11
*** alexhw_ has joined #symbiflow22:18
*** alexhw has quit IRC22:19
wallacejohnHello, I am new here and have been lurking for a few days. I am interested in getting involved with this project.22:23
wallacejohnI found issue #1181 in vtr-verilog-to-routing and have converted my first script to Python 3 using 2to3 in my own fork.22:23
wallacejohnShould I go ahead and submit a pull request for this single script, or convert several scripts before submitting a pull request?22:24
wallacejohnThis will be my first pull request, so I apologize for the basic question. Thanks!22:24
ZirconiumXI think all of them should be updated to Py3 honestly22:34
*** Alaa501 has quit IRC22:44
*** az0re has quit IRC23:42
*** HeHemEmE has joined #symbiflow23:54

Generated by irclog2html.py 2.17.2 by Marius Gedminas - find it at https://mg.pov.lt/irclog2html/!