Monday, 2020-01-13

*** tpb has joined #symbiflow00:00
*** jjjaaaccckkk has joined #symbiflow00:08
az0recool, thanks00:31
*** Bertl_oO is now known as Bertl_zZ01:23
*** duck23 is now known as duck201:41
*** proteus-guy has quit IRC03:12
jjjaaaccckkkwhy reverse the 7 series and not ultrascale(+)?03:25
*** proteus-guy has joined #symbiflow03:52
*** jjjaaaccckkk has quit IRC04:02
*** jjjaaaccckkk has joined #symbiflow04:09
az0reobviously $$$05:05
*** proteus-guy has quit IRC05:53
*** proteus-guy has joined #symbiflow06:36
*** OmniMancer has joined #symbiflow06:48
*** proteus-guy has quit IRC07:15
*** proteus-guy has joined #symbiflow07:27
*** citypw has joined #symbiflow08:34
daveshahHaving looked at it myself, there's nothing intrinsically more complicated about UltraScale+08:40
daveshahBut 7-series was obviously a simpler and cheaper place to start, and much of the infrastructure would be applicable to both08:41
*** rvalles_ has quit IRC09:33
*** rvalles_ has joined #symbiflow09:47
*** Bertl_zZ is now known as Bertl10:53
*** citypw has quit IRC11:05
*** citypw has joined #symbiflow11:05
*** proteus-guy has quit IRC11:09
*** citypw has quit IRC11:09
*** citypw has joined #symbiflow11:10
*** citypw has quit IRC11:38
*** mario_h has joined #symbiflow12:23
*** mario_h has quit IRC12:38
*** OmniMancer has quit IRC12:45
*** killruana_ has quit IRC13:48
*** killruana has joined #symbiflow13:59
*** az0re has quit IRC16:32
jjjaaaccckkkthanks. should i be good to use Vivado 2018.2? quickstart says 2017.218:30
hackerfoojjjaaaccckkk I think fuzzing requires 2017.219:03
hackerfoohttps://freenode.irclog.whitequark.org/symbiflow/2019-09-2719:07
tpbTitle: #symbiflow on 2019-09-27 — irc logs at whitequark.org (at freenode.irclog.whitequark.org)19:07
hackerfooIt might be worth filing issues so we know what breaks on later versions of Vivado.19:09
litghostThere is already an issue19:10
litghosthttps://github.com/SymbiFlow/prjxray/issues/1419:12
tpbTitle: MUXF8 vivado compatibility · Issue #14 · SymbiFlow/prjxray · GitHub (at github.com)19:12
hackerfoolitghost: Do you mind if I add a link to the README?19:15
litghostSure19:15
litghostIt is worth noting that some of the reasons MUXF7/8 were being used can be converted to use the "BEL" attribute19:17
litghostHowever it is likely a decent amount of work to fix this in every fuzzer19:17
*** az0re has joined #symbiflow20:02
hackerfooDoes anyone have an example where VPR fans out a clock before it should? I'm not sure why VPR would fan out too early after a clock buffer.20:19
* hackerfoo uploaded an image: clock_network.jpg (345KB) < http://sandbox.hackerfoo.com:8008/_matrix/media/v1/download/sandbox.hackerfoo.com/FQMsuINXtKBpKuXbJWRizdRl >20:25
hackerfooFor example, I made this simple design to see where VPR might go wrong. The clock specific wires are highlighted from the BUFG to the clock sinks.20:26
hackerfooI don't see how the router could get confused and use general routing fabric after leaving a clock buffer.20:28
hackerfooTwo stage routing seems to put a virtual clock source at a clock buffer, but those have to be instantiated and placed anyway, so it seems like the placer should already do what two stage clock routing would do.20:30
hackerfooI guess I could try looking at picosoc or something complicated like that with fasm2v.20:33
*** az0re has quit IRC20:46
*** adjtm_ has quit IRC21:01
*** adjtm has joined #symbiflow21:01
litghost> I don't see how the router could get confused and use general routing fabric after leaving a clock buffer.21:07
litghosthttps://github.com/SymbiFlow/symbiflow-arch-defs/issues/1153#issuecomment-57219541121:07
tpbTitle: Clock signals routed through INT tiles · Issue #1153 · SymbiFlow/symbiflow-arch-defs · GitHub (at github.com)21:07
*** az0re has joined #symbiflow21:08
hackerfoolitghost: Thanks21:08
litghosthackerfoo: One thing that we want from 2 phase routing is routing of clocks connections without any other connections, and then looking the21:08
litghostm21:08
litghosthackerfoo: It is worth noting that the issue shown above is not going to be fixed via 2 phase routing, but instead correcting a bug in the graph import21:08
litghostBut it is an example of the type of failure you were seeking21:08
hackerfooIf clocks just need to be routed first, it seems that assigning clock networks the maximum criticality would work.21:12
hackerfooTwo stage routing seems to be a solution for a lack of explicit clock buffers.21:12
litghosthackerfoo: Yes and no21:15
litghosthackerfoo: Even if clocks are routed first (via sorting), they will still be eligable for ripup if they are not frozen21:15
*** TheHolyC has quit IRC21:52
*** TheHolyC has joined #symbiflow21:52
hackerfooI see. I don't think two stage routing is suitable, since it will only freeze up to the virtual clock network root.22:03
litghostYa22:04
litghostWe may need/want to implement an extension on what was commited22:04
hackerfooThis seems like an easier problem to solve, since there's no need to insert a virtual root, which is what I'm stuck on.22:05
hackerfooJust route clocks, lock the routes, then route everything else.22:05
litghostYa22:06
litghostThere is the additional, sutabler issue of making sure the router doesn't non-dedicated networks22:06
litghostBut I don't think the existing VPR code has any concept of clock specific networks22:07
hackerfooI thought that's what `--clock_modeling dedicated_network` was.22:08
hackerfooVPR identifies global nets, at least.22:11
litghostI'm not totally confident, but I believe that modifies the rr graph generator22:12
litghostWe don't use that22:12
litghostWe supply the rr graph22:13
hackerfooI think nets that are connected to global pins (including clocks) are marked global (read_xml_arch_file.cpp:678):  PhysicalTileType->is_pin_global[pin_count] = port.is_clock || port.is_non_clock_global;22:18
hackerfooI'll have to check.22:18
litghostBut we never use global pins in VPR22:19
litghostglobal pins are magic22:19
litghostFor example, VPR can just assume that all IPINs can be tied to 0/122:19
litghostWhich obviously won't work for us22:20
hackerfooI see. So this is from VPR ignoring clocks and pretending that every clock pin is connected directly to the clock network (clock_modeling == IDEAL)?22:22
hackerfooAt any rate, it shouldn't be hard to identify nets that connect to clock buffers, so I could do that first, and then later try to force them on clock specific nodes if needed.22:29
*** titanbiscuit has quit IRC23:23
*** titanbiscuit has joined #symbiflow23:23
*** adjtm has quit IRC23:41
*** adjtm has joined #symbiflow23:41
*** az0re has quit IRC23:50

Generated by irclog2html.py 2.13.1 by Marius Gedminas - find it at mg.pov.lt!