Monday, 2018-12-31

*** tpb has joined #symbiflow00:00
nono2357ok thanks sorear03:01
*** nono2357 has quit IRC03:06
*** kraiskil__ has joined #symbiflow06:28
*** _whitelogger has quit IRC07:03
*** _whitelogger has joined #symbiflow07:06
*** _whitelogger has quit IRC07:51
*** _whitelogger has joined #symbiflow07:54
digshadowGuzTech: I'm really overloaded right now. Hoping to get back to you soon08:14
digshadowGuzTech: provided some comments on your PR, please let me know if that clarifies what I'm suggesting08:17
digshadowsorear: there is no end to end toolchain that is for general use. There is a pre-alpha developer only version08:18
soreardigshadow: not publically as of a few days ago.  yosyshq/nextpnr@xc7 still requires ISE for bitstream gen08:18
digshadowsorear: using vpr08:19
sorearis that the one that doesn't support IOBs?08:19
digshadowwell sure :)08:19
digshadowit requires the test harness .bit still08:19
digshadow"pre alpha"08:19
digshadowsorear: would you be interested in looking at the IOBs to help with that?08:20
soreartheoretically, yes, but (a) I've got a bunch of other things going on (b) I'd probably need a fair amount of handholding08:21
digshadowOkay, well maybe a) ping you in a bit b) usually not an issue / I'll do what I can to get you up to speed08:26
*** _whitelogger has quit IRC08:51
*** _whitelogger has joined #symbiflow08:54
mithrodigshadow: https://github.com/SymbiFlow/prjxray/pull/42708:56
tpbTitle: Move settings out of database directory into new settings directory. by mithro · Pull Request #427 · SymbiFlow/prjxray · GitHub (at github.com)08:56
digshadowmithro: fwiw your readme changes will conflict with GuzTech outstanding stuff08:58
digshadowhis PR was close. Maybe we should merge that and you rebase on that?08:58
digshadowwill => might08:58
mithroYeah, I can rebase pretty easily08:58
*** _whitelogger has quit IRC09:06
*** _whitelogger has joined #symbiflow09:09
mithrodigshadow: Did an initial rebase ontop of GuzTech's pull request, so make sure to merge his first09:10
digshadowmithro: the issue is that I was proposing some tweaks to his09:10
digshadowif you make the proposed tweaks in yours though09:11
mithrodigshadow: Yeah, I can rebase after he fixes that09:11
digshadowI can merge your PR with his rebase?09:11
*** kraiskil__ has quit IRC09:16
GuzTechdigshadow: No worries! I removed the warning and added "recommended" to the first option.09:27
digshadowchecking09:27
digshadowGuzTech: LGTM!09:29
digshadowGoing to merge it09:29
digshadowthanks for sticking with me to sort that stuff out09:29
GuzTechHey no problem. It's the least I can do :)09:30
digshadowjust waiting for travis now09:30
digshadowmithro: I'm going to look for breakfast. Feel free to merge it09:30
GuzTechI want to help with other stuff as well (just like sorear apparently :) ).09:30
GuzTechLet's see what we can unravel about the 7-series.09:31
digshadowGuzTech: is there anything in particular you are intested in09:31
digshadowinterested09:31
GuzTechI'd like to start simple to better understand the process, but otherwise I don't know.09:31
digshadowGuzTech: right, but if you for example are interested in a specific part of the fpga, I can guide you through the process09:32
GuzTechmithro mentioned IOBs for example. Pretty important to get that working me thinks.09:32
digshadowGuzTech: sure, if you want to work on it its setup decently right now. But please coordinate with me as I might work on it in the next few weeks09:34
digshadowmerged!09:35
digshadowmithro: you can rebase now if you want09:35
mithroGuzTech: Were you at 35c3?09:37
mithrodigshadow: Done!09:37
soreari'm mostly an algorithms person, I'd be jumping on analytic placement if someone else wasn't09:37
mithrosorear: We could use help with things like the routing graph deduping and stuff09:38
sorearyes.  i am very interested in that specifically09:39
GuzTechmithro: unfortunately not09:41
*** kraiskil__ has joined #symbiflow09:42
GuzTechdigshadow: anything simple you can recommend then?09:42
mithrosorear: https://github.com/SymbiFlow/prjxray/tree/master/fuzzers/074-dump_all is probably a good place to look09:42
tpbTitle: prjxray/fuzzers/074-dump_all at master · SymbiFlow/prjxray · GitHub (at github.com)09:42
sorearrelated thing I'd like: a generic compressed database backend that can handle most common FPGAs09:43
sorearI'll look at that later09:43
mithrosorear: https://github.com/verilog-to-routing/vtr-verilog-to-routing/issues/28609:44
tpbTitle: Proposal for alternative fabric and switch specification format · Issue #286 · verilog-to-routing/vtr-verilog-to-routing · GitHub (at github.com)09:44
mithrosorear: https://github.com/mithro/symbiflow-arch-defs/blob/ice40-generate/ice40/utils/ice40_generate_routing.py09:45
tpbTitle: symbiflow-arch-defs/ice40_generate_routing.py at ice40-generate · mithro/symbiflow-arch-defs · GitHub (at github.com)09:45
mithroOn my way to Poznan now. Will be in and out as change trains and such...10:28
*** GuzTech has quit IRC10:44
*** GuzTech has joined #symbiflow10:46
*** kraiskil__ has quit IRC11:04
*** perillamint has joined #symbiflow11:12
*** kraiskil has joined #symbiflow11:21
*** torgax has joined #symbiflow11:22
*** perillamint has quit IRC11:24
*** perillamint has joined #symbiflow11:24
torgaxhi, I'm new to FPGA's (I got one one a LAN-party as a price, an icestick) and would like to write a really basic CPU using only opensource software. A long time ago, I used yosys, arachne-pnr and another tool to compile for FPGA's, but never got anywhere. What tools would you recommend? I'd like to have some kind of test bench, so I don't have to physically test it everyime. Sorry if this is offtopic11:33
sorearyosys and icestorm is still good, there are a few new options but we don't want everyone to switch immediately11:38
*** kraiskil has quit IRC11:44
digshadowGuzTech, mithro : FWIW that is the longest running fuzzer, although definitely could use some help improving performance (such as paralleizing it)11:45
*** nono2357 has joined #symbiflow11:56
*** kraiskil has joined #symbiflow11:59
*** torgax has quit IRC12:05
digshadowhi nono235712:08
nono2357hi12:10
nono2357I would like to help with the Xilinx series 7 toolchain12:11
nono2357I'm familiar with Python, Verilog, apio and Vivado, how can I help?12:12
mithroHi nono2357, I'm currently on a train, so will be in and out12:20
mithronono2357: How much free time do you have?12:21
nono2357about 3-4 hours a week12:22
*** perillamint_ has joined #symbiflow12:24
*** perillamint has quit IRC12:27
mithronono2357: We need a lot of help cleaning up and optimizing the Python stuff in prjxray and in symbiflow-arch-defs12:27
nono2357any beta test/bug report in the toolchain?12:47
nono2357would be easier than to understand the whole thing12:47
mithronono2357: maybe something like https://github.com/SymbiFlow/prjxray/issues/30312:54
tpbTitle: lib prjxray: less dependent on .json files · Issue #303 · SymbiFlow/prjxray · GitHub (at github.com)12:54
mithrohttps://github.com/SymbiFlow/prjxray/issues/14112:55
tpbTitle: Document and test prjxray library · Issue #141 · SymbiFlow/prjxray · GitHub (at github.com)12:55
mithronono2357: or https://github.com/SymbiFlow/symbiflow-arch-defs/issues/20912:59
tpbTitle: Create a "pretty printer" for the VTR .net file format · Issue #209 · SymbiFlow/symbiflow-arch-defs · GitHub (at github.com)13:00
mithronono2357: https://github.com/SymbiFlow/symbiflow-arch-defs/issues/181 that would be a pretty quick one13:01
tpbTitle: Write a Python library for decoding Artix-7 wire names · Issue #181 · SymbiFlow/symbiflow-arch-defs · GitHub (at github.com)13:01
*** perillamint_ has quit IRC13:22
*** _whitelogger has quit IRC13:30
*** _whitelogger has joined #symbiflow13:33
*** perillamint has joined #symbiflow14:02
*** kraiskil has quit IRC14:06
*** citypw has joined #symbiflow14:32
GuzTechOk, so I've created a simple design that instantiates one OBUFT, with drive strengths 4, 8, 12, and 16 (these are supported by LVCMOS33).15:43
GuzTechI've used bitread and diffed them all.15:43
GuzTechWhat comes after that?15:43
*** perillamint has quit IRC16:12
*** perillamint has joined #symbiflow16:12
*** kraiskil has joined #symbiflow21:33
*** kraiskil has quit IRC22:28

Generated by irclog2html.py 2.13.1 by Marius Gedminas - find it at mg.pov.lt!