Sunday, 2020-01-12

*** tpb has joined #tomu00:00
*** alexhw has quit IRC02:17
*** alexhw has joined #tomu02:22
*** im-tomu has left #tomu02:50
*** im-tomu has joined #tomu02:50
*** im-tomu has left #tomu03:06
*** im-tomu has joined #tomu03:06
*** CarlFK has joined #tomu03:13
*** LWK has quit IRC04:34
*** johnhmay has quit IRC04:36
*** ukembedded has quit IRC04:36
*** daveshah has quit IRC04:36
*** johnhmay has joined #tomu04:36
*** _florent_ has quit IRC04:36
*** LWK has joined #tomu04:37
*** jeroud has quit IRC04:37
*** daveshah has joined #tomu04:37
*** ukembedded has joined #tomu04:37
*** jeroud has joined #tomu04:37
*** CarlFK has quit IRC04:47
*** _florent_ has joined #tomu04:50
*** im-tomu has left #tomu05:00
*** im-tomu has joined #tomu05:01
*** CarlFK has joined #tomu05:33
*** xkapastel has joined #tomu05:45
*** gio has quit IRC06:13
*** gio has joined #tomu06:18
*** jnsquire has joined #tomu06:29
*** gio has quit IRC07:11
*** gio has joined #tomu07:15
*** jnsquire has quit IRC07:21
*** CarlFK has quit IRC08:58
*** CarlFK has joined #tomu10:32
*** gio has quit IRC11:07
*** xkapastel has quit IRC11:12
*** CarlFK has quit IRC11:15
*** gio has joined #tomu11:41
*** CarlFK has joined #tomu12:59
*** xkapastel has joined #tomu13:20
*** gio has quit IRC13:28
*** gio has joined #tomu13:32
*** im-tomu has left #tomu15:01
*** im-tomu has joined #tomu15:01
*** xkapastel has quit IRC15:32
*** jnsquire has joined #tomu15:37
*** im-tomu has left #tomu15:54
*** im-tomu has joined #tomu15:55
gurke_is there a way to generate a visualization of the fpga configuration (similar to the one in the nextpnr-gui) using the fomu-toolchain? or using some other cli utility?16:01
*** im-tomu has left #tomu17:05
*** im-tomu has joined #tomu17:05
*** im-tomu has left #tomu17:31
*** im-tomu has joined #tomu17:31
*** AnimaInvicta has joined #tomu18:12
*** im-tomu has left #tomu18:38
*** im-tomu has joined #tomu18:38
gurke_mithro: i have ported the two verilog examples from pr #124 to migen (to be used in the migen-section of the tutorial)19:06
gurke_mithro: my first goal was, to have it completly without litex dependencies - thus i've copied parts of the board definition19:07
gurke_mithro: https://github.com/mainini/fomu-workshop/tree/migen-example/migen19:07
tpbTitle: fomu-workshop/migen at migen-example · mainini/fomu-workshop · GitHub (at github.com)19:07
gurke_mithro: I don't think that's the most clever way - how would you integrate it to the workshop?19:08
gurke_mithro: (and as a side question: i'm battling with the clock - I'm not able to request it from the platform and assign it to the SB_IOs... see commented out lines 69, 127 and 135)19:09
jnsquireIn case anyone is interested, I've got VexRiscv generating verilog that uses the DSP to implement the multiplier (4/8 SB_MAC16 used). Required some fixes to the Mul16Plugin in VexRiscv. I'm still tinkering with the configuration to get a foboot build that passes the timing constraints. nextpnr is having a seriously hard time converging.19:13
jnsquireI've tried to enable the memory and writeback stages to see if that will help with the timing, but it ends up with 99% occupancy. Is it realistic to expect a successful built out of that?19:25
*** im-tomu has left #tomu20:01
*** im-tomu has joined #tomu20:01
*** im-tomu has left #tomu20:49
*** im-tomu has joined #tomu20:49
*** whatnick has joined #tomu21:17
*** whatnick has quit IRC21:45
mithrojnsquire: realistic? no -- might it still happen? maybe? :-P21:45
*** CarlFK has quit IRC21:57
mithroxobs: Look at the "continuous-documentation/read-the-docs" trigger on https://github.com/im-tomu/fomu-workshop/pull/124 !21:59
tpbTitle: Fix Verilog examples by mainini · Pull Request #124 · im-tomu/fomu-workshop · GitHub (at github.com)21:59
*** techman83 has quit IRC22:01
*** techman83 has joined #tomu22:03
*** kevans91 has quit IRC22:17
*** kevans91 has joined #tomu22:18
*** CarlFK has joined #tomu22:27
*** im-tomu has left #tomu22:47
*** im-tomu has joined #tomu22:47
*** AnimaInvicta has left #tomu23:15
*** CarlFK has quit IRC23:34
*** xkapastel has joined #tomu23:54

Generated by irclog2html.py 2.13.1 by Marius Gedminas - find it at mg.pov.lt!