Thursday, 2024-08-22

*** tpb <[email protected]> has joined #yosys00:00
*** skipwich <skipwich!~skipwich@user/skipwich> has joined #yosys00:45
*** skipwich <skipwich!~skipwich@user/skipwich> has quit IRC (Remote host closed the connection)00:58
*** skipwich <skipwich!~skipwich@user/skipwich> has joined #yosys01:00
*** ec <ec!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 260 seconds)01:18
*** ec <ec!~ec@gateway/tor-sasl/ec> has joined #yosys01:20
*** Stary <Stary!Stary@hacksoc/infrastructure> has quit IRC (Quit: ZNC - http://znc.in)03:43
*** Stary <Stary!Stary@hacksoc/infrastructure> has joined #yosys03:48
*** cr1901_ <cr1901_!~cr1901@2601:8d:8600:226:383a:d9a3:eb53:fc98> has joined #yosys06:05
*** cr1901 <cr1901!~cr1901@2601:8d:8600:226:955b:2554:db17:48f2> has quit IRC (Ping timeout: 252 seconds)06:09
*** FabM <[email protected]> has joined #yosys06:27
*** krispaul <[email protected]> has joined #yosys08:04
*** kristianpaul <kristianpaul!~paul@user/kristianpaul> has quit IRC (Ping timeout: 248 seconds)08:04
*** lexano <[email protected]> has quit IRC (Remote host closed the connection)12:21
*** lexano <[email protected]> has joined #yosys12:56
*** krispaul <[email protected]> has quit IRC (Quit: WeeChat 3.5)15:04
*** kristianpaul <kristianpaul!~paul@user/kristianpaul> has joined #yosys15:04
*** FabM <FabM!~FabM@armadeus/team/FabM> has quit IRC (Ping timeout: 276 seconds)15:06
*** bjorkintosh <bjorkintosh!~bjork@user/bjorkintosh> has quit IRC (Quit: "Every day, computers are making people easier to use." David Temkin)15:59
*** bjorkintosh <bjorkintosh!~bjork@user/bjorkintosh> has joined #yosys16:03
*** danderson <danderson!~dave@tailscale/danderson> has joined #yosys16:44
dandersonHi! I need to write a sim model for ECP5's DP16KD. Beyond "look at what other FPGA memory sims do and be consistent with those", any docs/styles I should follow to make it a useful contrib to yosys?16:49
dandersonI _think_ I understand the primitive's behavior enough to take a stab at the model, just wondering if there's any well known gotchas or style rules I should obey.16:50
loftydanderson: I think my advice would be to remember you're not writing a simulation model, as paradoxical as that is16:55
loftyYosys will read and parse the sim model from a synthesis point of view, so if you throw in a bunch of simulation-specific things Yosys might just reject the model outright16:56
dandersonlofty: hm, interesting. That complicates things a bit, because the model requires doing illegal things with clock domain crossing to detect misuses...17:44
dandersonsounds like that might make yosys quite mad, or trigger incorrect optimizations?17:45
dandersonI guess I'll start hacking and see how it does. I assume that means I should also not use '?' values to represent states where the hardware says the state is undefined?17:46
dandersonSounds like I should print a warning and pick a binary state instead17:46
loftyI assume that means I should also not use '?' values to represent states where the hardware says the state is undefined? <-- doing this is entirely okay18:43
loftydanderson: ^18:44
lofty(although, I assume you mean 'x', and not '?' AKA 'z')18:44
dandersoner yes sorry, I've been bouncing between languages too much. I mean the state to represent "you maybe just did a metastability, that's bad" :)18:46
loftye.g. the Yosys memory inference code detects conditional x for at least one use case18:47
dandersonright on. The other thing I'm not sure how to express is async reset and reset release, but my plan there was to look at other models and... generally go learn how to do it.18:51
dandersonBut I understand the internal memory layout and I think I know how to detect the read-write and write-write port conflicts, which I think are the main spicy parts of this primitive.18:52
dandersonthanks for the wisdom!18:52
*** ec <ec!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 260 seconds)19:06
*** so-offish <so-offish!~so-offish@2610:148:610:2b11::a> has joined #yosys20:10
*** nonchip <[email protected]> has quit IRC (Quit: https://quassel-irc.org - Chat comfortably. Anywhere.)22:36
*** nonchip <[email protected]> has joined #yosys22:36
*** bjorkint0sh <bjorkint0sh!~bjork@2600:1700:5400:c80:d24d:650:e099:ae88> has joined #yosys22:44
*** bjorkintosh <bjorkintosh!~bjork@user/bjorkintosh> has quit IRC (Ping timeout: 260 seconds)22:44

Generated by irclog2html.py 2.17.2 by Marius Gedminas - find it at https://mg.pov.lt/irclog2html/!