Tuesday, 2023-05-09

*** tpb <[email protected]> has joined #yosys00:00
*** nak <nak!~nak@yosys/nak> has joined #yosys00:07
*** npe9 <npe9!~textual@2601:644:8d7f:dbe:f40c:862:81ee:efac> has quit IRC (Ping timeout: 248 seconds)00:11
*** nak <nak!~nak@yosys/nak> has quit IRC (Ping timeout: 240 seconds)00:13
*** nak <nak!~nak@yosys/nak> has joined #yosys00:15
*** anticw <[email protected]> has quit IRC (Remote host closed the connection)00:22
*** anticw <[email protected]> has joined #yosys00:24
*** nak <nak!~nak@yosys/nak> has quit IRC (Ping timeout: 240 seconds)00:24
*** nak <nak!~nak@yosys/nak> has joined #yosys00:28
*** nak <nak!~nak@yosys/nak> has quit IRC (Ping timeout: 240 seconds)00:54
*** nak <nak!~nak@yosys/nak> has joined #yosys00:57
*** nak <nak!~nak@yosys/nak> has quit IRC (Ping timeout: 256 seconds)01:31
*** nak <nak!~nak@yosys/nak> has joined #yosys01:33
*** bl0x_ <bl0x_!~bl0x@p200300d7a71cf200020658823046a1b2.dip0.t-ipconnect.de> has joined #yosys01:55
*** nak <nak!~nak@yosys/nak> has quit IRC (Ping timeout: 240 seconds)01:55
*** ec <ec!~ec@gateway/tor-sasl/ec> has quit IRC (Remote host closed the connection)01:56
*** bl0x <[email protected]> has quit IRC (Ping timeout: 240 seconds)01:56
*** ec <ec!~ec@gateway/tor-sasl/ec> has joined #yosys01:58
*** nak <nak!~nak@yosys/nak> has joined #yosys02:00
*** nak <nak!~nak@yosys/nak> has quit IRC (Ping timeout: 260 seconds)02:06
*** nak <nak!~nak@yosys/nak> has joined #yosys02:08
*** lumo_e <[email protected]> has joined #yosys02:19
*** lumo_e <[email protected]> has quit IRC (Ping timeout: 256 seconds)02:42
*** lumo_e <[email protected]> has joined #yosys02:48
*** lumo_e <[email protected]> has quit IRC (Ping timeout: 256 seconds)03:21
gatecatcr1901: can you put the task call in an `always @*`? or something 05:34
gatecatthat might not be strictly correct with the always @* semantics in simulation (I don't think task inputs are considered), but if it's only for yosys then it should be fine05:34
cr1901gatecat: I ended up creating a set of modules that  internally do all the intermediate boolean expressions I care about and then putting them into an assert. Alternately, I learned functions which return values are valid at module scope (but not tasks or void functions).05:46
cr1901putting them == "putting the output wire's bits using {con, cat, operator}"05:47
*** nak <nak!~nak@yosys/nak> has quit IRC (Ping timeout: 260 seconds)05:49
*** nak <nak!~nak@yosys/nak> has joined #yosys05:51
*** FabM <FabM!~FabM@2a03:d604:103:600:2e60:8c7c:e8fb:7990> has joined #yosys06:19
*** krispaul <[email protected]> has joined #yosys07:02
*** kristianpaul <kristianpaul!~paul@user/kristianpaul> has quit IRC (Ping timeout: 256 seconds)07:04
*** schaeg <schaeg!~anabrid@2001:638:904:ffc8:8164:7444:dbed:da0c> has joined #yosys07:53
schaegIntroduction: I am working at an analog computer startup. At the moment we are selling analog computers one programms by plugging wires. Future generations will be computer programmeable. We are developing a tool chain for programming those which we eventually want to open source. I want to get a feel for what the community would like to see. So i will be hanging around.08:09
*** schaeg <schaeg!~anabrid@2001:638:904:ffc8:8164:7444:dbed:da0c> has quit IRC (Ping timeout: 246 seconds)08:50
*** schaeg <schaeg!~anabrid@2001:638:904:ffc8:aa51:e5c9:2ec2:f262> has joined #yosys09:24
*** ormiret <[email protected]> has joined #yosys11:00
*** lumo_e <[email protected]> has joined #yosys11:46
*** lumo_e <[email protected]> has quit IRC (Quit: Quit)12:54
bjonnh[m]schaeg: do you have a link about what your company is doing?14:33
bjonnh[m]why not open sourcing the tool chain from the beginning? offer a few machines to the community and let them do stuff with them14:34
*** krispaul <[email protected]> has quit IRC (Quit: WeeChat 2.3)14:53
*** kristianpaul <kristianpaul!~paul@user/kristianpaul> has joined #yosys14:53
*** so-offish <so-offish!~so-offish@2610:148:610:2b11::a> has joined #yosys15:14
*** _whitelogger <[email protected]> has quit IRC (Remote host closed the connection)15:18
*** _whitelogger <[email protected]> has joined #yosys15:21
jnschaeg: hm, "analog computers one programms by plugging wires", i wonder how well they are suited to making music :)15:23
*** FabM <FabM!~FabM@armadeus/team/FabM> has quit IRC (Ping timeout: 248 seconds)16:22
*** Ekho <Ekho!~Ekho@user/ekho> has quit IRC (Quit: CORE ERROR, SYSTEM HALTED.)16:27
*** schaeg <schaeg!~anabrid@2001:638:904:ffc8:aa51:e5c9:2ec2:f262> has quit IRC (Remote host closed the connection)16:28
*** schaeg <schaeg!~anabrid@2001:638:904:ffc8:8982:48ee:ebee:b95d> has joined #yosys16:28
*** schaeg <schaeg!~anabrid@2001:638:904:ffc8:8982:48ee:ebee:b95d> has quit IRC (Ping timeout: 265 seconds)16:35
*** kraiskil <kraiskil!~kraiskil@10.121.104.92.dynamic.wline.res.cust.swisscom.ch> has joined #yosys17:04
*** kraiskil <kraiskil!~kraiskil@10.121.104.92.dynamic.wline.res.cust.swisscom.ch> has quit IRC (Ping timeout: 248 seconds)17:11
*** Ekho <Ekho!~Ekho@user/ekho> has joined #yosys17:18
bjorkintoshjn, see HAINBACH: https://www.youtube.com/channel/UCeovElJP0n0i8ADaPsRSd8g 17:26
bjorkintoshjn, everything you could possibly want to know for starters: https://www.youtube.com/watch?v=bgyzeyatS-0 17:38
*** greeb <greeb!~greeb@user/greeb> has quit IRC (Read error: Connection reset by peer)17:45
*** greeb <greeb!~greeb@user/greeb> has joined #yosys17:49
*** kraiskil <kraiskil!~kraiskil@10.121.104.92.dynamic.wline.res.cust.swisscom.ch> has joined #yosys18:58
*** FabM <FabM!~FabM@2a03:d604:103:600:2e60:8c7c:e8fb:7990> has joined #yosys19:41
*** kraiskil <kraiskil!~kraiskil@10.121.104.92.dynamic.wline.res.cust.swisscom.ch> has quit IRC (Ping timeout: 268 seconds)21:04
jnbjorkintosh: yep, i was thinking of Hainbach :D22:03
*** nonchip <[email protected]> has quit IRC (Quit: https://quassel-irc.org - Chat comfortably. Anywhere.)22:12
*** nonchip <[email protected]> has joined #yosys22:12

Generated by irclog2html.py 2.17.2 by Marius Gedminas - find it at https://mg.pov.lt/irclog2html/!