Sunday, 2022-12-25

*** tpb <[email protected]> has joined #yosys00:00
*** ZipCPU <[email protected]> has quit IRC (Read error: Connection reset by peer)00:34
*** ZipCPU <[email protected]> has joined #yosys00:36
*** mewt <[email protected]> has quit IRC (Ping timeout: 260 seconds)01:07
*** mewt <[email protected]> has joined #yosys01:08
*** bl0x_ <bl0x_!~bl0x@p200300d7a70ccc00c207a4ac7473b968.dip0.t-ipconnect.de> has joined #yosys02:07
*** bl0x <[email protected]> has quit IRC (Ping timeout: 248 seconds)02:09
*** cr1901 <cr1901!~cr1901@2601:8d:8600:911:5d54:b6ed:fddc:8b9> has quit IRC (Read error: Connection reset by peer)03:48
*** cr1901 <cr1901!~cr1901@2601:8d:8600:911:58e8:87e9:f7cb:65fe> has joined #yosys03:49
*** ZipCPU <[email protected]> has quit IRC (Ping timeout: 264 seconds)04:27
*** ZipCPU <[email protected]> has joined #yosys04:38
*** ere <[email protected]> has joined #yosys08:29
ereis there separate chat for gowin?09:30
*** ZipCPU_ <[email protected]> has joined #yosys09:33
*** ZipCPU <[email protected]> has quit IRC (Ping timeout: 248 seconds)09:37
*** ZipCPU_ is now known as ZipCPU09:37
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys10:15
*** ec <ec!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 255 seconds)10:17
*** ZipCPU <[email protected]> has quit IRC (Ping timeout: 272 seconds)10:28
*** ZipCPU <[email protected]> has joined #yosys10:41
*** ZipCPU <[email protected]> has quit IRC (Ping timeout: 246 seconds)10:55
*** ZipCPU <[email protected]> has joined #yosys11:00
*** ZipCPU <[email protected]> has quit IRC (Ping timeout: 252 seconds)11:06
*** ZipCPU <[email protected]> has joined #yosys11:14
*** freemint <[email protected]> has quit IRC (Ping timeout: 265 seconds)11:17
*** ZipCPU <[email protected]> has quit IRC (Ping timeout: 246 seconds)11:25
*** ZipCPU <[email protected]> has joined #yosys11:28
*** Klotz <Klotz!~Klotzoman@gateway/tor-sasl/klotz> has joined #yosys11:48
SarayanERROR: Multiple edge sensitive events found for this signal!11:50
Sarayanthat means multiple writes?11:50
Sarayanit's setting is in a always @(posedge clk32, negedge porb, negedge resb) begin11:51
Sarayanog.kervella.org/gstmcu.v signal ixdma, comes from AtariST_Mister11:52
*** ZipCPU <[email protected]> has quit IRC (Ping timeout: 265 seconds)11:53
*** ZipCPU <[email protected]> has joined #yosys12:07
jixSarayan: AFAICT it's just that both porb as well as resb cause an async reset of ixdma, but yosys' FF cells can only have one ALOAD input12:29
jix(or an async reset instead of async load, but still same limitation)12:31
*** ZipCPU <[email protected]> has quit IRC (Ping timeout: 248 seconds)12:31
jixsince the actual modelled behavior is just a level sensitive async reset when either of them is low, it's possible to use a single FF and connect the and of both of them to the async reset input12:35
Sarayanthanks, gonna try that12:39
*** ZipCPU <[email protected]> has joined #yosys12:40
jixwait, maybe it's not this12:40
Sarayanyeah, doesn't change a thing12:42
Sarayanfwiw I'm trying to build a sim using og.kervella.org/yosys.sh12:47
Sarayanthat coe overuses edges12:48
Sarayancode12:48
jixso with https://gist.githubusercontent.com/jix/8e496022a582163c29027891526f943d/raw/bcdadb003ee5d599dccf6678b6826b4e79fe1b16/gstmcu.diff I don't get that error12:53
jix(the "wait, ..." was just me not noticing a typo I had made)12:54
jixI haven't really used cxxrtl myself, but when the design does lot of async stuff it might not work as is due to stuff like this https://github.com/YosysHQ/yosys/issues/354912:56
jixthe good news is that (depending on how the design uses async stuff) you might still be able to simulate it by using async2sync or clk2fflogic to convert it to a sync design before running it through cxxrtl12:57
jixSarayan: https://gist.githubusercontent.com/jix/56611ea81664ea7df15e2cea5424e745/raw/86de9be17749cf46342349d7bc94182f97447bd3/gstmcu.2.diff also works13:02
jixso the frontend does have the logic to combine multiple level sensitive resets (I also did vaguely remember seeing this), it just doesn't recognize the particular pattern used13:03
Sarayanoh cute13:05
Sarayanmuch thanks13:05
Sarayanyep, once I've added the missing modules cxxrtl generates the .cc, beautiful13:09
jixthe two ways that work also do match IEEE 1364.1 "Standard for Verilog Register Transfer Level Synthesis" while the original doesn't13:10
jixnot that yosys is limiting itself to that, lots of real world RTL doesn't strictly follow that and other tools do support more too, but following that probably still is a good way to make sure synthesis works and matches across tools13:11
*** ZipCPU <[email protected]> has quit IRC (Ping timeout: 260 seconds)13:12
Sarayanthat all confirms my clear preference for amaranth13:12
*** ZipCPU <[email protected]> has joined #yosys13:21
*** Klotz <Klotz!~Klotzoman@gateway/tor-sasl/klotz> has quit IRC (Quit: Klotz)13:30
*** vancz <vancz!~vancz@user/vancz> has quit IRC ()13:45
*** vancz <vancz!~vancz@user/vancz> has joined #yosys13:52
*** freemint <freemint!~freemint@2001:638:904:ffe8:339b:2f0c:7daf:5607> has joined #yosys14:29
*** freemint <freemint!~freemint@2001:638:904:ffe8:339b:2f0c:7daf:5607> has quit IRC (Ping timeout: 256 seconds)14:56
*** freemint <freemint!~freemint@2001:638:904:ffe8:339b:2f0c:7daf:5607> has joined #yosys17:54
*** freemint <freemint!~freemint@2001:638:904:ffe8:339b:2f0c:7daf:5607> has quit IRC (Ping timeout: 260 seconds)18:59
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Remote host closed the connection)19:20
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys19:21
*** freemint <freemint!~freemint@2001:638:904:ffe8:339b:2f0c:7daf:5607> has joined #yosys19:41
loftyere: #yosys-apicula 20:08
erelofty: thanks20:32
*** lkcl <[email protected]> has quit IRC (Quit: BNC by #bnc4you)22:28
*** lkcl <[email protected]> has joined #yosys22:34
*** freemint <freemint!~freemint@2001:638:904:ffe8:339b:2f0c:7daf:5607> has quit IRC (Ping timeout: 246 seconds)22:54
*** nonchip <[email protected]> has quit IRC (Quit: https://quassel-irc.org - Chat comfortably. Anywhere.)23:06
*** nonchip <[email protected]> has joined #yosys23:06

Generated by irclog2html.py 2.17.2 by Marius Gedminas - find it at https://mg.pov.lt/irclog2html/!