Monday, 2022-01-03

*** tpb <[email protected]> has joined #yosys00:00
*** citypw <citypw!~citypw@gateway/tor-sasl/citypw> has joined #yosys01:01
*** modwizcode <modwizcode!~modwizcod@2001:470:69fc:105::1:4bec> has joined #yosys01:07
*** cr1901_ is now known as cr190101:14
*** nelgau_ <nelgau_!~nelgau@bras-base-mtrlpq2848w-grc-34-174-89-119-57.dsl.bell.ca> has quit IRC (Remote host closed the connection)01:54
*** nelgau <nelgau!~nelgau@bras-base-mtrlpq2848w-grc-34-174-89-119-57.dsl.bell.ca> has joined #yosys01:57
*** nelgau <nelgau!~nelgau@bras-base-mtrlpq2848w-grc-34-174-89-119-57.dsl.bell.ca> has quit IRC (Ping timeout: 256 seconds)02:01
*** cr1901 <cr1901!~cr1901@2601:8d:8600:911:1980:c918:3a83:b4fa> has quit IRC (Remote host closed the connection)02:15
*** cr1901 <cr1901!~cr1901@2601:8d:8600:911:1980:c918:3a83:b4fa> has joined #yosys02:15
*** bl0x_ <[email protected]> has quit IRC (Ping timeout: 240 seconds)02:41
*** bl0x_ <bl0x_!~bastii@p200300d7a7101e007f5d70d80fdd91de.dip0.t-ipconnect.de> has joined #yosys02:43
*** nelgau <nelgau!~nelgau@bras-base-mtrlpq2848w-grc-34-174-89-119-57.dsl.bell.ca> has joined #yosys03:26
*** smkz <smkz!~x@user/smkz> has quit IRC (Quit: smkz)05:21
*** smkz <smkz!~x@user/smkz> has joined #yosys05:22
*** nelgau <nelgau!~nelgau@bras-base-mtrlpq2848w-grc-34-174-89-119-57.dsl.bell.ca> has quit IRC (Remote host closed the connection)05:45
*** FabM <FabM!~FabM@2a03:d604:103:600:ebce:25ce:6ae7:bfcd> has joined #yosys06:22
*** nelgau <nelgau!~nelgau@bras-base-mtrlpq2848w-grc-34-174-89-119-57.dsl.bell.ca> has joined #yosys06:45
*** krispaul <[email protected]> has quit IRC (Read error: Connection reset by peer)07:01
*** krispaul <[email protected]> has joined #yosys07:02
*** nelgau <nelgau!~nelgau@bras-base-mtrlpq2848w-grc-34-174-89-119-57.dsl.bell.ca> has quit IRC (Remote host closed the connection)08:45
*** nelgau <nelgau!~nelgau@bras-base-mtrlpq2848w-grc-34-174-89-119-57.dsl.bell.ca> has joined #yosys09:14
*** nelgau <nelgau!~nelgau@bras-base-mtrlpq2848w-grc-34-174-89-119-57.dsl.bell.ca> has quit IRC (Remote host closed the connection)09:18
lambdaikskuh: very pretty!09:52
*** promach[m] <promach[m]!~promach@2001:470:69fc:105::ca1> has joined #yosys09:52
*** emilazy <emilazy!~emilazy@user/emilazy> has joined #yosys09:52
*** jryans <jryans!~jryans@2001:470:69fc:105::1d> has joined #yosys09:52
*** sadoon_albader[m <sadoon_albader[m!~sadoonalb@2001:470:69fc:105::d188> has joined #yosys09:52
*** xiretza[m] <xiretza[m]!~xiretzaxi@2001:470:69fc:105::9b1> has joined #yosys09:52
*** CarlosEDP <CarlosEDP!~carlosedp@2001:470:69fc:105::218e> has joined #yosys09:52
*** diadatp <diadatp!~diadatp@2001:470:69fc:105::c603> has joined #yosys09:52
*** pepijndevos[m] <pepijndevos[m]!~pepijndev@2001:470:69fc:105::b6a8> has joined #yosys09:53
*** jevinskie[m] <jevinskie[m]!~jevinskie@2001:470:69fc:105::bb3> has joined #yosys09:53
ikskuhthanks :)09:53
ikskuhthe cool part is yet to come09:53
*** levalicious[m] <levalicious[m]!~levalicio@2001:470:69fc:105::1:3264> has joined #yosys09:53
*** FL4SHK <[email protected]> has quit IRC (Ping timeout: 260 seconds)11:03
*** FL4SHK <[email protected]> has joined #yosys11:05
*** vidbina <vidbina!~vid@2a02:3036:1:4b83:3ffa:dcc8:5279:51b1> has joined #yosys14:17
*** krispaul <[email protected]> has quit IRC (Quit: WeeChat 2.3)14:19
*** kristianpaul <kristianpaul!~paul@user/kristianpaul> has joined #yosys14:20
*** lumo_e <[email protected]> has joined #yosys14:30
*** vidbina <vidbina!~vid@2a02:3036:1:4b83:3ffa:dcc8:5279:51b1> has quit IRC (Quit: vidbina)14:37
*** vidbina <vidbina!~vid@2a02:3036:1:4b83:3ffa:dcc8:5279:51b1> has joined #yosys14:37
*** nelgau <nelgau!~nelgau@bras-base-mtrlpq2848w-grc-34-174-89-119-57.dsl.bell.ca> has joined #yosys15:21
*** nelgau <nelgau!~nelgau@bras-base-mtrlpq2848w-grc-34-174-89-119-57.dsl.bell.ca> has quit IRC (Ping timeout: 240 seconds)15:25
*** citypw <citypw!~citypw@gateway/tor-sasl/citypw> has quit IRC (Ping timeout: 276 seconds)15:25
*** cr1901 <cr1901!~cr1901@2601:8d:8600:911:1980:c918:3a83:b4fa> has quit IRC (Remote host closed the connection)15:34
*** cr1901 <cr1901!~cr1901@2601:8d:8600:911:c5a:2a16:2d89:cad9> has joined #yosys15:34
*** lumo_e <[email protected]> has quit IRC (Ping timeout: 240 seconds)15:51
*** vidbina <vidbina!~vid@2a02:3036:1:4b83:3ffa:dcc8:5279:51b1> has quit IRC (Ping timeout: 240 seconds)16:03
*** gsmecher <[email protected]> has joined #yosys16:29
*** nelgau <nelgau!~nelgau@bras-base-mtrlpq2848w-grc-34-174-89-119-57.dsl.bell.ca> has joined #yosys16:34
*** kraiskil <kraiskil!~kraiskil@2a02:1205:c687:90a0:4549:f5fa:cb18:8609> has joined #yosys16:56
*** lumo_e <[email protected]> has joined #yosys17:10
*** kraiskil <kraiskil!~kraiskil@2a02:1205:c687:90a0:4549:f5fa:cb18:8609> has quit IRC (Ping timeout: 268 seconds)17:12
*** vidbina <[email protected]> has joined #yosys17:32
*** nelgau <nelgau!~nelgau@bras-base-mtrlpq2848w-grc-34-174-89-119-57.dsl.bell.ca> has quit IRC (Remote host closed the connection)17:48
*** lumo_e <[email protected]> has quit IRC (Ping timeout: 240 seconds)17:48
*** lumo_e <[email protected]> has joined #yosys17:48
*** nelgau <nelgau!~nelgau@bras-base-mtrlpq2848w-grc-34-174-89-119-57.dsl.bell.ca> has joined #yosys18:00
*** vidbina <[email protected]> has quit IRC (Ping timeout: 256 seconds)18:14
*** lumo_e <[email protected]> has quit IRC (Ping timeout: 240 seconds)18:33
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys18:51
*** ec_ is now known as ec18:51
*** lumo_e <[email protected]> has joined #yosys19:00
*** FabM <FabM!~FabM@armadeus/team/FabM> has quit IRC (Ping timeout: 240 seconds)19:18
*** vidbina <[email protected]> has joined #yosys19:55
*** nelgau_ <[email protected]> has joined #yosys20:04
*** nelgau <nelgau!~nelgau@bras-base-mtrlpq2848w-grc-34-174-89-119-57.dsl.bell.ca> has quit IRC (Read error: Connection reset by peer)20:05
*** nelgau <nelgau!~nelgau@bras-base-mtrlpq2848w-grc-34-174-89-119-57.dsl.bell.ca> has joined #yosys20:05
*** kristianpaul <kristianpaul!~paul@user/kristianpaul> has quit IRC (Quit: WeeChat 2.3)20:08
*** kristianpaul <[email protected]> has joined #yosys20:08
*** nelgau_ <[email protected]> has quit IRC (Ping timeout: 240 seconds)20:09
*** vidbina <[email protected]> has quit IRC (Ping timeout: 240 seconds)20:12
*** nelgau <nelgau!~nelgau@bras-base-mtrlpq2848w-grc-34-174-89-119-57.dsl.bell.ca> has quit IRC (Remote host closed the connection)20:27
*** nelgau <nelgau!~nelgau@bras-base-mtrlpq2848w-grc-34-174-89-119-57.dsl.bell.ca> has joined #yosys20:28
*** nelgau <nelgau!~nelgau@bras-base-mtrlpq2848w-grc-34-174-89-119-57.dsl.bell.ca> has quit IRC (Ping timeout: 240 seconds)20:32
*** vidbina <[email protected]> has joined #yosys20:48
*** chaoticryptidz <chaoticryptidz!~quassel@2a01:4f9:c010:8beb::> has joined #yosys20:50
*** nelgau <nelgau!~nelgau@bras-base-mtrlpq2848w-grc-34-174-89-119-57.dsl.bell.ca> has joined #yosys21:03
*** machinehum <machinehum!~machinehu@2604:3d08:937e:d600::d5e6> has joined #yosys21:19
machinehumHello21:19
ikskuhheya o/21:22
*** kristianpaul <kristianpaul!~paul@user/kristianpaul> has quit IRC (Quit: WeeChat 2.3)21:24
*** kristianpaul <[email protected]> has joined #yosys21:24
*** vidbina <[email protected]> has quit IRC (Ping timeout: 256 seconds)21:30
chaoticryptidzhewwo21:31
machinehumAnyone have an idea as to the feasibility to a USB3 memory controller on iCE40-HX8K? Like something you would find on a USB flash drive?21:45
machinehumOr possibly USB2, I think that's a little more reasonable21:45
tntUSB3 ... not going to happen.21:49
tntUSB2, I don't see any major issues, you're going to need an external PHY for the USB2 High-speed, but implementing some basic usb storage backed by flash seems very doable.21:50
ikskuhyeah, i'd say the same21:54
ikskuhhm, i wonder if it is possible to create raw DVI signals with the ECP521:59
ikskuhif my calculations are correct, i'd need to emit data with 400 MHz22:00
ikskuh(for 40 MHz pixel clock)22:00
tntyes. It's been done.22:01
ikskuhuuuh22:02
ikskuhcrazy22:02
ikskuhi wanted to use a TFP410PAP for this22:02
tntNot really. It's not 400 MHz, it's 400 Mbps, so with DDR output it's really only "200 MHz".22:03
ikskuhi have 30 bit per pixel22:03
ikskuhin 3 channels22:03
ikskuhso 10 bits per pixel clock22:03
*** vidbina <[email protected]> has joined #yosys22:04
tntyes, but the io register can output on both rising and falling edge of the internal clock.22:04
ikskuhoh22:05
ikskuhi'm a newbie, so i'm still learning things22:05
ikskuhis it legal to run a process with always @ (posedge clk, negedge clk) ?22:06
tntNo22:07
tnt(well .. not if you want it to be synthesizable at least)22:07
ikskuhso how can i do DDR then?22:07
tntYou need to instanciate black boxes blocks that represent the specific ECP5 hardware IO register.22:08
ikskuhah22:08
tntODDRX1F for instance22:08
ikskuhwhat exactly is that?22:12
*** vidbina <[email protected]> has quit IRC (Ping timeout: 240 seconds)22:43
*** nelgau <nelgau!~nelgau@bras-base-mtrlpq2848w-grc-34-174-89-119-57.dsl.bell.ca> has quit IRC (Remote host closed the connection)22:50
*** nelgau <nelgau!~nelgau@bras-base-mtrlpq2848w-grc-34-174-89-119-57.dsl.bell.ca> has joined #yosys23:45
*** peeps <peeps!~peepsalot@openscad/peepsalot> has joined #yosys23:49
*** peeps[zen] <peeps[zen]!~peepsalot@openscad/peepsalot> has quit IRC (Ping timeout: 260 seconds)23:51

Generated by irclog2html.py 2.17.2 by Marius Gedminas - find it at https://mg.pov.lt/irclog2html/!