Sunday, 2022-01-02

*** tpb <[email protected]> has joined #yosys00:00
*** nelgau <nelgau!~nelgau@bras-base-mtrlpq5405w-grc-18-76-65-62-163.dsl.bell.ca> has joined #yosys00:09
*** nelgau <nelgau!~nelgau@bras-base-mtrlpq5405w-grc-18-76-65-62-163.dsl.bell.ca> has quit IRC (Ping timeout: 240 seconds)00:15
*** rrika <rrika!~rrika@2a01:4b00:ea57:4e00:f30b:e0e0:575:8ca7> has joined #yosys01:17
*** rrika <rrika!~rrika@2a01:4b00:ea57:4e00:f30b:e0e0:575:8ca7> has quit IRC (Client Quit)01:17
*** citypw <citypw!~citypw@gateway/tor-sasl/citypw> has joined #yosys02:11
*** bl0x <bl0x!~bastii@p200300d7a747350017d5fd783a6322de.dip0.t-ipconnect.de> has quit IRC (Ping timeout: 252 seconds)02:42
*** bl0x <bl0x!~bastii@p200300d7a723dd00b4f20c2972d1a959.dip0.t-ipconnect.de> has joined #yosys02:44
*** nelgau <[email protected]> has joined #yosys04:46
*** nelgau <[email protected]> has quit IRC (Ping timeout: 256 seconds)05:16
*** nelgau <[email protected]> has joined #yosys05:17
*** nelgau <[email protected]> has quit IRC (Remote host closed the connection)06:13
*** nelgau <[email protected]> has joined #yosys06:32
*** kristianpaul <kristianpaul!~paul@user/kristianpaul> has quit IRC (Read error: Connection reset by peer)07:01
*** kristianpaul <kristianpaul!~paul@user/kristianpaul> has joined #yosys07:06
*** nelgau <[email protected]> has quit IRC (Remote host closed the connection)08:32
*** kraiskil <kraiskil!~kraiskil@2a02:1205:c687:90a0:4549:f5fa:cb18:8609> has joined #yosys09:18
*** kraiskil <kraiskil!~kraiskil@2a02:1205:c687:90a0:4549:f5fa:cb18:8609> has quit IRC (Ping timeout: 268 seconds)09:27
*** cr1901 <cr1901!~cr1901@2601:8d:8600:911:b553:52ba:d48b:7ddc> has quit IRC (Remote host closed the connection)10:01
*** cr1901 <cr1901!~cr1901@2601:8d:8600:911:1980:c918:3a83:b4fa> has joined #yosys10:01
*** kraiskil <kraiskil!~kraiskil@2a02:1205:c687:90a0:4549:f5fa:cb18:8609> has joined #yosys10:54
*** srk <srk!~sorki@user/srk> has quit IRC (Ping timeout: 250 seconds)11:14
*** srk <srk!~sorki@user/srk> has joined #yosys11:19
*** kraiskil <kraiskil!~kraiskil@2a02:1205:c687:90a0:4549:f5fa:cb18:8609> has quit IRC (Ping timeout: 268 seconds)11:36
*** citypw <citypw!~citypw@gateway/tor-sasl/citypw> has quit IRC (Ping timeout: 276 seconds)14:15
ikskuhand another rubber ducking is necessary15:16
ikskuhi have a memory "reg [7:0] framebuffer [15:0];" with 32k bytes size15:17
ikskuhand i have a wire accessing this based on the x address of the vga15:17
ikskuhwire [7:0] current_color_index = framebuffer[img_x[7:0]];15:17
ikskuhand i output that index to rgb in the pixel process15:17
ikskuhbut it seems like it's only respecting the last 8 bytes or so in the buffer15:18
lambdayou're only ever accessing one of the first 256 elements, is that intentional?15:18
ikskuhright now: yes15:18
ikskuhi have a small part that can read/write framebuffer memory via serial port15:19
ikskuhhm15:19
ikskuhmaybe the writing is broken :D15:19
ikskuhis the framebuffer definition correct?15:21
ikskuh15 bits of addresses for 8 bit data?15:21
lambdayour buffer is 64KiB, not 32, but that shouldn't make much of a difference15:21
lambdaI think that's how you do arrays in verilog? I'm honestly not sure though15:21
ikskuhoh right15:23
ikskuhi think i have to run a memtest first :D15:23
ikskuhto see if that part is working as expected15:23
lambdamake sure it doesn't end up being blind to the problem :p15:24
ikskuhwdym?15:25
lambdait's fairly easy to design a memtest that isn't actually very good at detecting systematic errors15:26
ikskuhah, yeah15:27
ikskuhi mean, "write random data to memory, read back, check if it's still the same" should do the trick15:27
lambdaoh, if you're doing it from the outside, sure - but doing that on device would require an PRNG15:28
ikskuhyeah15:29
ikskuhokay, so my memory access is borked 15:34
ikskuhhm16:59
ikskuhi feel like that whole RAM thing doesn't work16:59
gatecatlooking over the backlog, it's not `framebuffer [15:0]` you want but `framebuffer [2**16-1:0]`17:00
gatecatit's a set of dimensions; not an address bus width17:00
ikskuhgatecat: thanks, i'll try!17:01
ikskuhcan you explain the difference?17:01
ikskuhso17:01
ikskuhreg [TOP:BOT] framebuffer [IND_UPPER : IND_LOWER] ?17:01
lambdaI guess think of it as `reg [WORD_HIGH : WORD_LOW] framebuffer [ARRAY_HIGH : ARRAY_LOW]`17:02
ikskuhwow ^^17:03
ikskuhconsistency \o/17:03
ikskuhlet's see17:03
ikskuhWarning: Replacing memory \fake_ram with list of registers. See src/top.v:151 "oh no"?17:04
lambdathat's gonna cost you with 64KiB :D17:04
ikskuhyep17:05
ikskuh"please wait, we're synthesizing your fpga away"17:05
lambdathere should be some output from memory inference further up detailing why various block RAMs don't work17:06
ikskuhah17:07
ikskuhi probably have to enable the verbose output :D17:07
ikskuhi only have warnings/errors active right now17:07
lambdayeah17:07
ikskuhhm17:09
ikskuhnot much smarter now17:09
ikskuhreg [31:0] ram [0:MEM_WORDS-1];17:15
ikskuhinteresting17:15
ikskuhthis is from prjtrellis repo17:15
*** adjtm <[email protected]> has joined #yosys17:25
ikskuhhuuh17:25
ikskuhit looks like the memory_bram pass is never made? 17:25
lambdawhat's your script?17:28
ikskuhconnect_rpc -exec python3 scripts/genpll.py17:32
ikskuhread -sv src/*.v; 17:32
ikskuhsynth_ecp5 -top top -json build/top.json17:32
ikskuhit looks like theres a wrong order and the brams aren't resolved17:32
ikskuhwhere can i find the synth_ecp5 function/script?17:33
ikskuhweird17:38
ikskuhi removed my second bram definition17:38
ikskuhfixed the first one17:38
ikskuhnow it seems to not replace it anymroe17:38
ikskuhpnr is running now17:38
ikskuhhttps://bpa.st/PA4A17:39
tpbTitle: View paste PA4A (at bpa.st)17:39
ikskuhseems to do the right thing now17:39
lambdafyi, the synth_ecp5 pass is defined here: https://github.com/YosysHQ/yosys/blob/e14302a3ea2781dca2dfa3933be18c8e26654dce/techlibs/ecp5/synth_ecp5.cc#L2917:42
ikskuhthanks!17:43
ikskuhpnr is still running /o\17:43
ikskuhif i build the tools, do i have to pass optimization modes myself or are the toolchains built optimized by default? *thinking*17:44
lambdathat's alotta luts for a VGA driver17:44
lambdawhat do you mean by optimization modes?17:44
ikskuh"-O3" and -"farch=native"17:47
ikskuhlambda: that's 32k17:47
lambdayosys defaults to -Os17:47
lambdanextpnr defaults to -O317:51
*** adjtm <[email protected]> has quit IRC (Quit: Leaving)18:05
ikskuhhm18:25
ikskuhsomething is wrong18:26
ikskuhpnr still running /o\18:26
ikskuhlambda: aren't the luts required for interconnect of the RAM?18:27
lambdadefinitely not more than a few of them18:27
lambdafor read/write enable conversion and such18:28
ikskuhhm, weird18:28
ikskuhreducing ram size to 256 bytes18:28
ikskuhreduced cell count by "yes" :D18:28
ikskuhNumber of cells:               147418:28
ikskuhsynthesis for 256 memory cells works18:51
ikskuhi can write them18:51
ikskuhProperties: ports=3 bits=65536 rports=2 wports=1 dbits=8 abits=13 words=819219:13
ikskuhthis seems to generate all these wires :(19:13
ikskuhit seems to generate 2048 rams19:14
gatecatit looks like it's mapping to LUTRAM rather than BRAM19:14
gatecatwhat does the code look like ?19:14
ikskuhchaotic?19:16
ikskuhhttps://bpa.st/ZQAQ#1L5719:16
tpbTitle: View paste ZQAQ (at bpa.st)19:16
ikskuhline 88 (transitive 148) is where i read 19:17
ikskuhand in the process at line 5719:17
gatecatso, to map to BRAM, reads must all be in a clocked process19:18
ikskuhthey are, right?19:18
ikskuhor is the rst a problem?19:18
gatecatrst is a problem19:18
ikskuhah!19:18
ikskuhso synchronous reset is key?19:18
gatecatso the current_color_index won't map to BRAM19:18
gatecatno reset at all19:19
gatecaton the BRAM read port register19:19
ikskuhoh19:20
ikskuhi can make the reading part into a resetless process, right?19:20
gatecatyeah19:20
gatecatI'm also not sure if nested 'if's are alright for BRAM reads19:20
gatecatthey should be but there have been bugs in various tools in the past19:21
*** nelgau <nelgau!~nelgau@bras-base-mtrlpq2848w-grc-34-174-89-119-57.dsl.bell.ca> has joined #yosys19:21
ikskuhlet's see19:22
ikskuhi'm still a learner19:22
ikskuhcommit, change, let's rock!19:24
ikskuhNumber of memories:               019:25
ikskuhhm19:25
ikskuhshould go up, right?19:25
gatecatno, that's about unmapped memories19:26
ikskuhah, okay :)19:26
gatecatit should never be anything other than 0 post-synthesis, whatever happens, that's memories in the early part Yosys' IL which doesn't include BRAM etc19:27
gatecatmapping to BRAM should show "DP16KD" or "PDPW16KD" in the results19:27
ikskuhBram port B1.2 has no sync reset input.19:27
ikskuhhuh19:28
Sarayanwhat's a reset supposed to do on a memory?19:32
SarayanI know on cyclonev it clears the output latch and nothing else, in particular not the memory contents19:33
ikskuhhm, now it complains "Bram port B1.1 has no initial value support."19:33
gatecatSarayan: yeah, if memories have resets they're invariably just for the read latch19:33
gatecatbut Yosys can't map them yet19:34
gatecatikskuh: remove the `= 5'h00;` in `reg [4:0] vga_b_buf= 5'h00;`19:34
gatecatBRAM read ports don't support initialisation in ECP519:34
ikskuhah19:36
ikskuhsynthesis is suddenly down to "immediate"19:36
ikskuh :D19:36
ikskuhDP16KD:    26 /   208 ( 12%)19:37
ikskuhthis looks good19:37
gatecatlooks good!19:37
ikskuhdoesn't work :D19:38
ikskuhseems to be stuck on 0x3F19:40
ikskuhwait19:42
ikskuhokay, this was definitly me being stupid19:45
ikskuhmemtest seems to run now19:45
ikskuhi could hug you right now, gatecat!19:45
*** tpb_ <[email protected]> has joined #yosys20:09
*** tpb <[email protected]> has quit IRC (Killed (NickServ (GHOST command used by tpb_)))20:09
*** tpb_ is now known as tpb20:09
*** Knarfian_______ <[email protected]> has joined #yosys20:10
*** cr1901_ <cr1901_!~cr1901@2601:8d:8600:911:1980:c918:3a83:b4fa> has joined #yosys20:11
*** krispaul <[email protected]> has joined #yosys20:12
*** tpb <[email protected]> has joined #yosys20:16
*** FL4SHK <[email protected]> has joined #yosys20:16
*** lambda <lambda!~weechat@2a01:4f8:c010:8b24::1> has joined #yosys20:16
*** tlwoerner_ <[email protected]> has quit IRC (Ping timeout: 240 seconds)20:16
*** bluesceada <[email protected]> has joined #yosys20:17
*** trabucay1e <[email protected]> has quit IRC (Ping timeout: 256 seconds)20:17
*** srk- is now known as srk20:17
*** kristianpaul <kristianpaul!~paul@user/kristianpaul> has quit IRC (*.net *.split)20:17
*** koorogi <koorogi!~koorogi@user/koorogi> has quit IRC (*.net *.split)20:17
*** ZipCPU <[email protected]> has quit IRC (*.net *.split)20:17
*** DoubleJ <DoubleJ!~DoubleJ@user/doublej> has quit IRC (*.net *.split)20:17
*** lofty <[email protected]> has joined #yosys20:17
*** lambda <lambda!~weechat@2a01:4f8:c010:8b24::1> has quit IRC (Client Quit)20:17
*** ZipCPU <[email protected]> has joined #yosys20:18
*** lambda <lambda!~weechat@2a01:4f8:c010:8b24::1> has joined #yosys20:18
*** krispaul <[email protected]> has quit IRC (Ping timeout: 256 seconds)20:18
*** bl0x_ <[email protected]> has joined #yosys20:19
*** whitequark <whitequark!~whitequar@2001:470:69fc:105::37> has quit IRC (Ping timeout: 240 seconds)20:19
*** krispaul <[email protected]> has joined #yosys20:19
*** promach[m] <promach[m]!~promach@2001:470:69fc:105::ca1> has quit IRC (Ping timeout: 250 seconds)20:19
*** sadoon_albader[m <sadoon_albader[m!~sadoonalb@2001:470:69fc:105::d188> has quit IRC (Ping timeout: 245 seconds)20:19
*** jevinskie[m] <jevinskie[m]!~jevinskie@2001:470:69fc:105::bb3> has quit IRC (Ping timeout: 252 seconds)20:19
*** jryans <jryans!~jryans@2001:470:69fc:105::1d> has quit IRC (Ping timeout: 252 seconds)20:19
*** tux3 <[email protected]> has joined #yosys20:20
*** trabucayre <[email protected]> has joined #yosys20:21
*** Xark <[email protected]> has joined #yosys20:22
*** xiretza[m] <xiretza[m]!~xiretzaxi@2001:470:69fc:105::9b1> has quit IRC (Ping timeout: 268 seconds)20:22
*** diadatp <diadatp!~diadatp@2001:470:69fc:105::c603> has quit IRC (Ping timeout: 240 seconds)20:22
*** levalicious[m] <levalicious[m]!~levalicio@2001:470:69fc:105::1:3264> has quit IRC (Ping timeout: 240 seconds)20:22
*** pepijndevos[m] <pepijndevos[m]!~pepijndev@2001:470:69fc:105::b6a8> has quit IRC (Ping timeout: 250 seconds)20:22
*** CarlosEDP <CarlosEDP!~carlosedp@2001:470:69fc:105::218e> has quit IRC (Ping timeout: 240 seconds)20:23
*** gatecat <[email protected]> has joined #yosys20:23
*** emilazy <emilazy!~emilazy@user/emilazy> has quit IRC (Ping timeout: 245 seconds)20:23
*** whitequark <whitequark!~whitequar@2001:470:69fc:105::37> has joined #yosys20:32
*** promach[m] <promach[m]!~promach@2001:470:69fc:105::ca1> has joined #yosys20:35
*** jevinskie[m] <jevinskie[m]!~jevinskie@2001:470:69fc:105::bb3> has joined #yosys20:36
*** levalicious[m] <levalicious[m]!~levalicio@2001:470:69fc:105::1:3264> has joined #yosys20:47
*** diadatp <diadatp!~diadatp@2001:470:69fc:105::c603> has joined #yosys20:47
*** pepijndevos[m] <pepijndevos[m]!~pepijndev@2001:470:69fc:105::b6a8> has joined #yosys20:49
*** lexano <[email protected]> has joined #yosys20:49
*** sadoon_albader[m <sadoon_albader[m!~sadoonalb@2001:470:69fc:105::d188> has joined #yosys21:04
*** xiretza[m] <xiretza[m]!~xiretzaxi@2001:470:69fc:105::9b1> has joined #yosys21:07
*** jryans <jryans!~jryans@2001:470:69fc:105::1d> has joined #yosys21:07
*** tlwoerner__ <[email protected]> has quit IRC (Quit: Leaving)21:37
*** tlwoerner <[email protected]> has joined #yosys21:37
*** DoubleJ <DoubleJ!~DoubleJ@user/doublej> has joined #yosys21:38
*** sadoon_albader[m <sadoon_albader[m!~sadoonalb@2001:470:69fc:105::d188> has quit IRC (Remote host closed the connection)21:48
*** xiretza[m] <xiretza[m]!~xiretzaxi@2001:470:69fc:105::9b1> has quit IRC (Write error: Connection reset by peer)21:48
*** whitequark <whitequark!~whitequar@2001:470:69fc:105::37> has quit IRC (Read error: Connection reset by peer)21:48
*** pepijndevos[m] <pepijndevos[m]!~pepijndev@2001:470:69fc:105::b6a8> has quit IRC (Read error: Connection reset by peer)21:48
*** diadatp <diadatp!~diadatp@2001:470:69fc:105::c603> has quit IRC (Read error: Connection reset by peer)21:48
*** promach[m] <promach[m]!~promach@2001:470:69fc:105::ca1> has quit IRC (Read error: Connection reset by peer)21:48
*** jevinskie[m] <jevinskie[m]!~jevinskie@2001:470:69fc:105::bb3> has quit IRC (Write error: Connection reset by peer)21:48
*** levalicious[m] <levalicious[m]!~levalicio@2001:470:69fc:105::1:3264> has quit IRC (Remote host closed the connection)21:48
*** jryans <jryans!~jryans@2001:470:69fc:105::1d> has quit IRC (Write error: Connection reset by peer)21:48
*** promach[m] <promach[m]!~promach@2001:470:69fc:105::ca1> has joined #yosys21:50
*** jryans <jryans!~jryans@2001:470:69fc:105::1d> has joined #yosys21:51
*** emilazy <emilazy!~emilazy@user/emilazy> has joined #yosys21:51
*** sadoon_albader[m <sadoon_albader[m!~sadoonalb@2001:470:69fc:105::d188> has joined #yosys21:51
*** whitequark <whitequark!~whitequar@2001:470:69fc:105::37> has joined #yosys21:51
*** jevinskie[m] <jevinskie[m]!~jevinskie@2001:470:69fc:105::bb3> has joined #yosys21:51
*** CarlosEDP <CarlosEDP!~carlosedp@2001:470:69fc:105::218e> has joined #yosys21:51
*** pepijndevos[m] <pepijndevos[m]!~pepijndev@2001:470:69fc:105::b6a8> has joined #yosys21:52
*** levalicious[m] <levalicious[m]!~levalicio@2001:470:69fc:105::1:3264> has joined #yosys21:52
*** xiretza[m] <xiretza[m]!~xiretzaxi@2001:470:69fc:105::9b1> has joined #yosys21:52
*** diadatp <diadatp!~diadatp@2001:470:69fc:105::c603> has joined #yosys21:52
ikskuhgatecat, lambda: thanks for the help! you made this possible: https://twitter.com/ikskuh/status/147775655881358541422:06
*** jryans <jryans!~jryans@2001:470:69fc:105::1d> has quit IRC (Quit: Client limit exceeded: 20000)22:13
*** promach[m] <promach[m]!~promach@2001:470:69fc:105::ca1> has quit IRC (Quit: Client limit exceeded: 20000)22:13
*** emilazy <emilazy!~emilazy@user/emilazy> has quit IRC (Quit: Client limit exceeded: 20000)22:13
*** sadoon_albader[m <sadoon_albader[m!~sadoonalb@2001:470:69fc:105::d188> has quit IRC (Quit: Client limit exceeded: 20000)22:15
*** jevinskie[m] <jevinskie[m]!~jevinskie@2001:470:69fc:105::bb3> has quit IRC (Quit: Client limit exceeded: 20000)22:15
*** CarlosEDP <CarlosEDP!~carlosedp@2001:470:69fc:105::218e> has quit IRC (Quit: Client limit exceeded: 20000)22:15
*** diadatp <diadatp!~diadatp@2001:470:69fc:105::c603> has quit IRC (Quit: Client limit exceeded: 20000)22:17
*** pepijndevos[m] <pepijndevos[m]!~pepijndev@2001:470:69fc:105::b6a8> has quit IRC (Quit: Client limit exceeded: 20000)22:17
*** levalicious[m] <levalicious[m]!~levalicio@2001:470:69fc:105::1:3264> has quit IRC (Quit: Client limit exceeded: 20000)22:17
*** xiretza[m] <xiretza[m]!~xiretzaxi@2001:470:69fc:105::9b1> has quit IRC (Quit: Client limit exceeded: 20000)22:17
*** nelgau_ <nelgau_!~nelgau@bras-base-mtrlpq2848w-grc-34-174-89-119-57.dsl.bell.ca> has joined #yosys22:23
*** nelgau <nelgau!~nelgau@bras-base-mtrlpq2848w-grc-34-174-89-119-57.dsl.bell.ca> has quit IRC (Ping timeout: 260 seconds)22:27

Generated by irclog2html.py 2.17.2 by Marius Gedminas - find it at https://mg.pov.lt/irclog2html/!