Friday, 2022-06-17

*** tpb <[email protected]> has joined #litex00:00
*** Degi_ <[email protected]> has joined #litex02:50
*** Degi <[email protected]> has quit IRC (Ping timeout: 260 seconds)02:51
*** Degi_ is now known as Degi02:51
_florent_jevinskie[m]: this would be interesting to explore it yes.07:06
_florent_jevinskie[m]: BTW, regarding QOI, amstan here was experimenting with it on FPGA: https://libera.irclog.whitequark.org/litex/2022-04-24#3213820707:07
tpbTitle: #litex on 2022-04-24 — irc logs at libera.irclog.whitequark.org (at libera.irclog.whitequark.org)07:07
_florent_jevinskie[m]: https://github.com/amstan/qoi-fpga07:07
_florent_I was also curious to experiment with it but haven't found the time yet07:08
amstanyeah, i need to get on that still07:09
amstani actually found someone even made an ip block you can buy for it, with a datasheet and everything07:09
amstanapi looked very similar to mine07:10
_florent_jevinskie[m]: I would also be very interested to see what results it gives for LiteScope captures07:10
amstanhttps://www.xilinx.com/products/intellectual-property/1-1o2l4ko.html#overview07:10
amstanso formal, why can't it be open source?07:10
amstani guess that's where things like litex will come in07:11
_florent_amstan: Having an open-source implementation for QOI is indeed a lot more interesting07:12
_florent_amstan: We can help you doing the integration and prepare some tests on hardware07:13
_florent_amstan: Can you remember how you were planning to test it?07:13
amstanthe big piece that's missing right now is some kind of standard memory bus interface07:14
amstani'm doing some tests with verilator where i took apart the software implementation and replaced the inner loop with a verilator simulation of a clock cycle07:15
_florent_amstan: I could prepare some simulation/simple design to be able to do DRAM -> DMA -> QOI Decoder -> VideoOut and then full the DRAM with encoded QOI frames from the SDCard or from Ethernet07:21
_florent_full the/fill the07:22
amstanthat would be cool07:22
*** peepsalot <peepsalot!~peepsalot@openscad/peepsalot> has quit IRC (Quit: Connection reset by peep)07:47
*** betocool <[email protected]> has joined #litex08:02
betocoolQuestion... what's the difference between Migen and nMigen? And which one does Litex use?08:04
*** peepsalot <peepsalot!~peepsalot@openscad/peepsalot> has joined #litex08:24
pepijndevos[m]LiteX uses Migen08:39
pepijndevos[m]nMigen is now Amaranth08:39
pepijndevos[m]It improves a few things about Migen, but is not backwards compatible.08:39
*** excited-mango[m] <excited-mango[m]!~excited-m@2001:470:69fc:105::1:faee> has quit IRC (Quit: You have been kicked for being idle)09:00
somlospeaking of Migen -- it needs some help keeping up with Python 3.11 (https://github.com/m-labs/migen/issues/259), in case there are any Python experts hanging around12:55
somloit's a bit deeper python magic than I'm equipped to deal with at short notice :)12:56
*** FabM <FabM!~FabM@armadeus/team/FabM> has joined #litex13:05
*** esteves <[email protected]> has joined #litex13:34
estevesHello, I need to add a fez constraints in the sdc file. To do that I'm using "platform.toolchain.additional_sdc_commands", is there a more elegant way to do this? (set_input_delay and set_output_delay commands)14:19
estevesfew*14:20
*** esteves <[email protected]> has quit IRC (Quit: Client closed)14:37
*** FabM <FabM!~FabM@armadeus/team/FabM> has quit IRC (Remote host closed the connection)14:50
*** betocool <[email protected]> has quit IRC (Quit: Client closed)15:15
jevinskie[m]<amstan> "i actually found someone even..." <- For QOI, already? Hard to believe someone already tried to commercialize a hobby YOLO algorithm but I guess it’s performance is so compelling.17:19
jevinskie[m]<somlo> "it's a bit deeper python magic..." <- I can take a look :)17:21
jevinskie[m]<esteves> "Hello, I need to add a fez..." <- That’s the way to do it, you can wrap the appending in a helper function to make it prettier17:21
somlojevinskie[m]: thanks, LMK what you find18:05
*** YehorKrapovnytsk <[email protected]> has joined #litex18:47
YehorKrapovnytskHey, I wanna carry out the first lab from litex-hub and when I execute os.system("djtgcfg prog -d Nexys4DDR -i 0 -f ./build/top.bit") to program the device I get that djtgcfg not found. I googled a little and have found that I need Digilent Adept tool. It wasn't installed with litex. Ami right that I need to install it manually?18:51
*** esteves <esteves!~esteves@2a06:e040:3502:2006:74e1:3fa2:4de8:9ce1> has joined #litex22:08
estevesok, thank you.22:09
*** esteves <esteves!~esteves@2a06:e040:3502:2006:74e1:3fa2:4de8:9ce1> has quit IRC (Client Quit)22:10
jevinskie[m]This is interesting https://arxiv.org/abs/2101.0532923:15
tpbTitle: [2101.05329] Improving Run Length Encoding by Preprocessing (at arxiv.org)23:15
*** YehorKrapovnytsk <[email protected]> has quit IRC (Quit: Client closed)23:19

Generated by irclog2html.py 2.17.2 by Marius Gedminas - find it at https://mg.pov.lt/irclog2html/!