Thursday, 2021-11-11

*** tpb <[email protected]> has joined #litex00:00
*** gatecat <[email protected]> has quit IRC (Ping timeout: 260 seconds)00:24
*** mithro <[email protected]> has quit IRC (Ping timeout: 244 seconds)00:24
*** key2 <key2!sid337923@2a03:5180:f::5:2803> has quit IRC (Read error: Connection reset by peer)00:26
*** tucanae47_ <[email protected]> has quit IRC (Ping timeout: 264 seconds)00:27
*** _florent_ <[email protected]> has quit IRC (Read error: Connection reset by peer)00:27
*** esden <[email protected]> has quit IRC (Read error: Connection reset by peer)00:27
*** guan <[email protected]> has quit IRC (Read error: Connection reset by peer)00:27
*** alanvgreen <[email protected]> has quit IRC (Ping timeout: 268 seconds)00:27
*** tcal <[email protected]> has quit IRC (Read error: Connection reset by peer)00:27
*** philpax_ <[email protected]> has quit IRC (Read error: Connection reset by peer)00:27
*** sorear <[email protected]> has quit IRC (Ping timeout: 250 seconds)00:28
*** key2 <[email protected]> has joined #litex00:43
*** esden <[email protected]> has joined #litex00:44
*** philpax_ <[email protected]> has joined #litex00:44
*** guan <[email protected]> has joined #litex00:44
*** philpax_ <[email protected]> has quit IRC (Ping timeout: 268 seconds)00:49
*** guan <[email protected]> has quit IRC (Ping timeout: 264 seconds)00:50
*** esden <[email protected]> has quit IRC (Ping timeout: 256 seconds)00:50
*** key2 <[email protected]> has quit IRC (Ping timeout: 264 seconds)00:50
*** gatecat <[email protected]> has joined #litex00:51
*** guan <[email protected]> has joined #litex00:51
*** key2 <[email protected]> has joined #litex00:52
*** philpax_ <[email protected]> has joined #litex00:53
*** esden <[email protected]> has joined #litex00:54
*** tucanae47_ <[email protected]> has joined #litex00:54
*** alanvgreen <[email protected]> has joined #litex00:54
*** mithro <[email protected]> has joined #litex01:02
*** _florent_ <[email protected]> has joined #litex01:04
*** sorear <[email protected]> has joined #litex01:04
*** smb784 <[email protected]> has quit IRC (Remote host closed the connection)01:07
*** smb784 <[email protected]> has joined #litex01:19
*** tcal <[email protected]> has joined #litex01:24
smb784I have also tried uploading the verilog files described in sqrl_acorn.tcl from ~/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware to vivado.  After synthesis & implementation a bitstream is generated, but it is about 5 times larger than the one generated by running sqrl_acorn.py, and the device isn't recognized by the litepcie kernel module02:08
smb784so basically I'm pretty much stuck.  I just want to be able to create a vivado project that I can add my own custom hardware with the existing litepcie and spi so I can interact with it via pcie and load new bitstreams to it via pcie as well02:10
smb784if this turns out to just be impossible, maybe I'll jsut have to do it in Migen or something02:10
*** Degi <[email protected]> has quit IRC (Ping timeout: 256 seconds)02:52
*** Degi <[email protected]> has joined #litex02:53
*** somlo_ <[email protected]> has joined #litex05:13
*** somlo <[email protected]> has quit IRC (Ping timeout: 268 seconds)05:18
smb784alright well that was weird, but i got it working.05:50
smb784If I run the tcl script in vivado, it generates a bin file of the correct size05:50
smb784however, if I run each command in the tcl script sequentially in vivado, it gives me a bin file that is about 5 times larger, and that ends up not being recognized by the host system.05:51
smb784anyway at least now I can modify the design in verilog and add my own flavor to it05:52
_florent_smb784: The LitePCIe generator is intended to be used by users wanting to generate the standalone core and do the integration themselves in their traditional flow (ie users that don't necessarily want to use LiteX for the integration).06:29
_florent_smb784: So this is just generating a verilog code, with a template for the constraints that then need to be adapted to the hardware06:30
_florent_smb784: You then have to do apply the IO constraints/timings constraints as you would do for any other integrated core06:31
_florent_smb784: We also provide the targets in LiteX-Boards using the full LiteX flow and that here are doing the full integration (but not using the standalone verilog core)06:32
_florent_somlo_: nice for OpenSBI/Rocket! We are (slowly) converging between the different Linux projects. Having the patch accepted in OpenSBI will also be useful for Linux-on-LiteX-Vexriscv :)06:38
sajattack[m]somlo: Maybe I should give rocket on acorn another try. I was a bit confused with the boot process though. Does it load over uart like linux-vexriscv or no?06:55
sajattack[m]Or is it too big?07:00
sajattack[m]Can't wait for florent's baseboards to come out :P07:01
*** key2 <[email protected]> has quit IRC (Ping timeout: 256 seconds)07:37
*** key2 <[email protected]> has joined #litex07:40
*** smb784 <[email protected]> has quit IRC (Remote host closed the connection)07:43
*** linear_cannon <[email protected]> has joined #litex07:54
*** jeffdi <[email protected]> has quit IRC (Remote host closed the connection)09:10
*** jeffdi <[email protected]> has joined #litex09:42
*** jeffdi <[email protected]> has quit IRC (Ping timeout: 260 seconds)10:04
_florent_sajattack[m]: the boot process should be very similar (or at least it would be easy to have it similar). I'll try to look at the serialboot over PCIe, it should be possible to make it a lot faster.10:43
*** TMM_ <[email protected]> has quit IRC (Quit: https://quassel-irc.org - Chat comfortably. Anywhere.)11:08
*** TMM_ <[email protected]> has joined #litex11:08
*** jeffdi <[email protected]> has joined #litex13:00
*** jeffdi <[email protected]> has quit IRC (Ping timeout: 268 seconds)13:05
somlo__florent_: yeah, should hopefully make the platform-specific bits of vexriscv support smaller and more concise...13:23
somlo_sajattack[m]: kernel + initrd + [opensbi | bbl] is somewhere around 17M; I boot from either sdcard or over ethernet (tftp), but see no reason why it shouldn't also work over serial13:26
somlo_note that so far I only got opensbi working with the `full` (i.e., gateware FPU enabled) variant of Rocket -- that means nexys4ddr, nexys video, genesys2, but not ecp513:28
somlo_since bbl emulates the FPU, but opensbi does not13:28
*** key2 <[email protected]> has quit IRC (Read error: No route to host)13:40
*** sorear <[email protected]> has quit IRC (Ping timeout: 268 seconds)13:41
*** mithro <[email protected]> has quit IRC (Ping timeout: 268 seconds)13:41
*** philpax_ <[email protected]> has quit IRC (Ping timeout: 268 seconds)13:41
*** guan <[email protected]> has quit IRC (Ping timeout: 256 seconds)13:41
*** alanvgreen <[email protected]> has quit IRC (Ping timeout: 268 seconds)13:41
*** esden <[email protected]> has quit IRC (Ping timeout: 268 seconds)13:41
*** tucanae47__ <[email protected]> has joined #litex13:42
*** gatecat <[email protected]> has quit IRC (Ping timeout: 264 seconds)13:42
*** tucanae47_ <[email protected]> has quit IRC (Ping timeout: 268 seconds)13:42
*** tucanae47__ is now known as tucanae47_13:42
*** _florent__ <[email protected]> has joined #litex13:42
*** key2 <[email protected]> has joined #litex13:42
*** tcal <[email protected]> has quit IRC (Ping timeout: 260 seconds)13:42
*** _florent_ <[email protected]> has quit IRC (Ping timeout: 256 seconds)13:42
*** _florent__ is now known as _florent_13:42
*** alanvgreen <[email protected]> has joined #litex13:42
*** sorear <[email protected]> has joined #litex13:42
*** esden <[email protected]> has joined #litex13:43
*** gatecat <[email protected]> has joined #litex13:43
*** tcal <[email protected]> has joined #litex13:43
*** mithro <mithro!sid24875@2a03:5180:f:3::612b> has joined #litex13:43
*** philpax_ <philpax_!sid516926@2a03:5180:f:2::7:e33e> has joined #litex13:43
*** guan <[email protected]> has joined #litex13:44
*** esden <[email protected]> has quit IRC (Ping timeout: 256 seconds)14:16
*** alanvgreen <[email protected]> has quit IRC (Ping timeout: 256 seconds)14:17
*** key2 <[email protected]> has quit IRC (Ping timeout: 256 seconds)14:17
*** sorear <[email protected]> has quit IRC (Ping timeout: 240 seconds)14:17
*** tucanae47_ <[email protected]> has quit IRC (Ping timeout: 250 seconds)14:17
*** _florent_ <[email protected]> has quit IRC (Ping timeout: 264 seconds)14:19
*** guan <[email protected]> has quit IRC (Ping timeout: 240 seconds)14:19
*** philpax_ <philpax_!sid516926@2a03:5180:f:2::7:e33e> has quit IRC (Ping timeout: 240 seconds)14:19
*** tcal <[email protected]> has quit IRC (Ping timeout: 250 seconds)14:19
*** mithro <mithro!sid24875@2a03:5180:f:3::612b> has quit IRC (Ping timeout: 264 seconds)14:19
*** gatecat <[email protected]> has quit IRC (Ping timeout: 250 seconds)14:19
*** tucanae47_ <[email protected]> has joined #litex14:22
*** tcal <[email protected]> has joined #litex14:22
*** sorear <[email protected]> has joined #litex14:22
*** mithro <[email protected]> has joined #litex14:23
*** gatecat <[email protected]> has joined #litex14:24
*** jeffdi <[email protected]> has joined #litex14:24
*** esden <[email protected]> has joined #litex14:31
*** alanvgreen <[email protected]> has joined #litex14:32
*** key2 <[email protected]> has joined #litex14:32
*** philpax_ <[email protected]> has joined #litex14:33
*** guan <[email protected]> has joined #litex14:34
*** _florent_ <[email protected]> has joined #litex14:44
sajattack[m]it looks like the last time I tried I had the uart address wrong in the dts14:49
sajattack[m]thanks for looking into that florent15:05
leonsOn UltraScale+ I'm commonly getting setup time violations on the idelayctrl reset line, caused by Vivado trying to make up for hold time. What's weird is that it lists this violation under the async_default path group, but it's not really async: it's from the pll4x_clk to the pll4x_clk. Also, the RST pin of the IDELAYCTRL is an asynchronous reset with a minimum pulse width which we meet through the reset_counter in USPIDELAYCTRL, so setup and hold time15:49
leonsreally shouldn't matter on that path at all15:49
leonsI've worked around this by inserting a false path constraint between the ic_reset signals and the IDELAYCTRL, but that looks like a hack. Anyone have an idea on what could be causing Vivado to view these paths as to be violating timing? 15:50
sajattack[m]<sajattack[m]> "it looks like the last time I..." <- do I gave the base uart csr or the xover?16:06
*** kgugala <[email protected]> has joined #litex16:27
*** SpaceCoaster_ <SpaceCoaster_!~derek@user/spacecoaster> has joined #litex16:35
*** SpaceCoaster <SpaceCoaster!~derek@user/spacecoaster> has quit IRC (Ping timeout: 264 seconds)16:37
*** SpaceCoaster_ is now known as SpaceCoaster16:37
*** peeps[zen] <peeps[zen]!~peepsalot@openscad/peepsalot> has joined #litex16:38
*** peepsalot <peepsalot!~peepsalot@openscad/peepsalot> has quit IRC (Ping timeout: 260 seconds)16:40
*** SpaceCoaster_ <SpaceCoaster_!~derek@user/spacecoaster> has joined #litex16:41
*** SpaceCoaster <SpaceCoaster!~derek@user/spacecoaster> has quit IRC (Ping timeout: 256 seconds)16:41
*** SpaceCoaster_ is now known as SpaceCoaster16:41
*** C-Man <[email protected]> has joined #litex16:43
sajattack[m]oh shit I got it17:16
sajattack[m]answer: base uart csr17:16
*** openpowerwtf <[email protected]> has joined #litex17:50
*** cr1901 <cr1901!~William@2601:8d:8600:911:9d2c:cc23:b169:e830> has quit IRC (Read error: Connection timed out)18:13
mithroopenpowerwtf: Hi!18:28
openpowerwtfhey..it works!18:29
mithroopenpowerwtf: What is going on in https://git.openpower.foundation/cores/a2p/src/branch/master/build/litex/litex-1099/master/a2p_cmod7_uarts.py#L112 with your CSRDirectory thing?18:30
tpbTitle: cores/a2p: An experimental small core based on VexRiscv, written in Scala - build/litex/litex-1099/master/a2p_cmod7_uarts.py at master - a2p - OpenPOWER Foundation Git System (at git.openpower.foundation)18:30
openpowerwtfthat is an experiment, after i was defeated by uarts.  i was trying to build a csr array which is a list of all csr addresses and types; i.e. an indirect method to know what was built into gateware18:33
mithroopenpowerwtf: Do you have a simple example where you are struggling with two uart instances?18:33
openpowerwtfyes i can comment that out.  i don't think it matters.18:33
mithroopenpowerwtf: You can't loop over the csrs in the __init__18:33
openpowerwtfi was hoping i could access self.csr before the finalization and set all my reset values.  but i see that some csr's aren't in the list at that time.18:34
mithroI'm not sure you mean by "set all my reset values"?18:35
openpowerwtfthe directory entries are csr addresses and type field.  so they would be initialized with the final csr layout.  software would read them to figure out where things like i2c, uart, etc. are based.  since i don't think csr locs can be assigned.18:38
openpowerwtfi am not relying on that right now; just messing around18:38
mithroAs far as I can see, you will want to do that in `do_finalize`18:40
mithroopenpowerwtf: And it would probably be better to just do that in the DTS?18:40
mithroopenpowerwtf: If you are able to create a simple example which shows what you are trying to do with multiple uarts then we can help figure out what is going wrong18:41
openpowerwtfok i will do that one again.  i just want to add a uart just like i add i2cmaster, gpio, etc.18:43
mithroopenpowerwtf: Yeap, which should be easy and just work -- so I would like to see what you are doing that fails18:44
openpowerwtfshould it be a master?  seems like not18:45
mithro@openpowerwtf - Just create a simple SoC like https://github.com/litex-hub/litex-boards/blob/master/litex_boards/targets/tinyfpga_bx.py#L29 and then add extra uarts?18:49
openpowerwtfhttps://git.openpower.foundation/cores/a2p/src/branch/master/build/litex/litex-1099/simple19:10
tpbTitle: cores/a2p: An experimental small core based on VexRiscv, written in Scala - a2p - OpenPOWER Foundation Git System (at git.openpower.foundation)19:10
openpowerwtfsimilar behavior: INFO:SoCCSRHandler:uart_1 CSR allocated at Location 0.  but it doesn't show in csr.csv.19:10
mithroopenpowerwtf: This is what I was expecting you to share - https://gist.github.com/mithro/d6069c51a0dc6733f06a2bf2b4a014e819:15
mithroAs far as I can see, UARTBone and UARTPHY don't actually have any CSRs?19:21
mithroopenpowerwtf: What does https://gist.github.com/mithro/d6069c51a0dc6733f06a2bf2b4a014e8#file-gistfile1-txt-L110-L113 give you?19:24
openpowerwtfi think neither did as desired.  doesn't the second one need the stream to connect it to wishbone?  19:41
mithroopenpowerwtf: I think you are confusing a "UART" with a "UART to wishbone" bridge19:43
openpowerwtfthe second one says SOCCSRHandler allocated both, at locs 0 and 1.  but csr_register,ctrl is at fff0080019:44
mithroopenpowerwtf: I can't run the script here at the moment, can you paste the complete output of that file I shared?19:46
openpowerwtfyes.  but i just noticed that one died because reset address not in defined region.   i will try to fix that...19:55
*** SpaceCoaster_ <SpaceCoaster_!~derek@user/spacecoaster> has joined #litex20:01
*** SpaceCoaster <SpaceCoaster!~derek@user/spacecoaster> has quit IRC (Ping timeout: 250 seconds)20:02
*** SpaceCoaster_ is now known as SpaceCoaster20:02
openpowerwtfok, i added rom at @0.  and now there's a uart_1_phy and uart_1 is at fff00800.  so maybe i was just missing the add_csr() for the phy.  i will go back and try again.20:03
mithroIf you didn't have `with_dynamic_baudrate` then you wouldn't see anything under `uart_1_phy`CSRs20:31
sajattack[m]<somlo_> "note that so far I only got..." <- building full4d for acorn at the moment, looks like it's only 50% utilization20:36
sajattack[m]should I send you some bins?20:36
sajattack[m]or maybe I made a mistake20:42
*** TMM_ <[email protected]> has quit IRC (Quit: https://quassel-irc.org - Chat comfortably. Anywhere.)20:44
*** TMM_ <[email protected]> has joined #litex20:45
somlo_sajattack[m]: I don't have an acorn, so I wouldn't know what to do with any blobs you might send, sorry; (but great if there's enough room on it!)20:52
sajattack[m]stick 'em here? https://github.com/litex-hub/linux-on-litex-rocket/issues/120:56
_florent_openpowerwtf: are you trying to add a second UART core or a UARTBone core (UARTBone = UART PHY + Bridge to control the SoC from litex_server)?21:24
sajattack[m]do you have instructions for sbi somewhere?21:26
_florent_https://github.com/litex-hub/linux-on-litex-vexriscv#generating-the-opensbi-binary-optional21:28
_florent_it will also probably work on somlo_'s branch21:28
sajattack[m]ok thanks, and then do I just flash fw_jump.bin instead of boot.bin or does one get created with part of the other?21:38
*** peeps[zen] is now known as peepsalot22:10
*** Coldberg <[email protected]> has joined #litex23:20
*** C-Man <[email protected]> has quit IRC (Ping timeout: 260 seconds)23:22
*** Ikkepop <[email protected]> has joined #litex23:40
*** Coldberg <[email protected]> has quit IRC (Ping timeout: 268 seconds)23:44
*** Xesxen <Xesxen!~cyber@hackalot/deelnemer/xesxen> has quit IRC (Remote host closed the connection)23:52
*** Xesxen <Xesxen!~cyber@hackalot/deelnemer/xesxen> has joined #litex23:53

Generated by irclog2html.py 2.17.2 by Marius Gedminas - find it at https://mg.pov.lt/irclog2html/!