Monday, 2021-11-08

*** tpb <[email protected]> has joined #litex00:00
*** linear_cannon <[email protected]> has quit IRC (Ping timeout: 245 seconds)01:13
*** linear_cannon <[email protected]> has joined #litex01:23
*** indy <[email protected]> has quit IRC (Quit: ZNC 1.8.2 - https://znc.in)01:41
*** alanvgreen <alanvgreen!sid467761@2a03:5180:f:3::7:2331> has quit IRC (Ping timeout: 268 seconds)02:40
*** gatecat <gatecat!sid281702@2a03:5180:f:1::4:4c66> has quit IRC (Ping timeout: 268 seconds)02:40
*** tucanae47_ <tucanae47_!sid429270@2a03:5180:f:2::6:8cd6> has quit IRC (Ping timeout: 268 seconds)02:40
*** gatecat <[email protected]> has joined #litex02:41
*** mithro <mithro!sid24875@2a03:5180:f:3::612b> has quit IRC (Ping timeout: 264 seconds)02:41
*** guan <guan!sid222713@2a03:5180:f:3::3:65f9> has quit IRC (Ping timeout: 264 seconds)02:41
*** sorear <sorear!sid184231@2a03:5180:f:5::2:cfa7> has quit IRC (Ping timeout: 268 seconds)02:42
*** guan <[email protected]> has joined #litex02:43
*** mithro <[email protected]> has joined #litex02:44
*** sorear <[email protected]> has joined #litex02:45
*** guan <[email protected]> has quit IRC (Read error: Connection reset by peer)02:50
*** mithro <[email protected]> has quit IRC (Ping timeout: 260 seconds)02:52
*** tucanae47_ <[email protected]> has joined #litex02:56
*** Degi_ <[email protected]> has joined #litex02:57
*** Degi <[email protected]> has quit IRC (Ping timeout: 245 seconds)02:58
*** Degi_ is now known as Degi02:58
*** alanvgreen <[email protected]> has joined #litex03:02
*** guan <[email protected]> has joined #litex03:04
*** mithro <[email protected]> has joined #litex03:05
*** guan <[email protected]> has quit IRC (Ping timeout: 264 seconds)03:17
*** mithro <[email protected]> has quit IRC (Ping timeout: 268 seconds)03:18
*** alanvgreen <[email protected]> has quit IRC (Ping timeout: 268 seconds)03:18
*** mithro <[email protected]> has joined #litex03:20
*** alanvgreen <[email protected]> has joined #litex03:22
*** guan <[email protected]> has joined #litex03:33
*** indy <[email protected]> has joined #litex03:52
*** indy <[email protected]> has quit IRC (Ping timeout: 268 seconds)04:00
*** key2 <[email protected]> has quit IRC (Ping timeout: 260 seconds)04:02
*** philpax_ <[email protected]> has quit IRC (Ping timeout: 260 seconds)04:02
*** esden <[email protected]> has quit IRC (Ping timeout: 245 seconds)04:03
*** tcal <[email protected]> has quit IRC (Ping timeout: 245 seconds)04:03
*** tcal <[email protected]> has joined #litex04:03
*** key2 <key2!sid337923@2a03:5180:f::5:2803> has joined #litex04:03
*** tucanae47_ <[email protected]> has quit IRC (Ping timeout: 256 seconds)04:03
*** guan <[email protected]> has quit IRC (Ping timeout: 256 seconds)04:03
*** alanvgreen <[email protected]> has quit IRC (Ping timeout: 256 seconds)04:03
*** _florent_ <[email protected]> has quit IRC (Ping timeout: 256 seconds)04:03
*** alanvgreen <[email protected]> has joined #litex04:04
*** tucanae47_ <[email protected]> has joined #litex04:04
*** esden <[email protected]> has joined #litex04:04
*** guan <[email protected]> has joined #litex04:04
*** _florent_ <[email protected]> has joined #litex04:04
*** philpax_ <[email protected]> has joined #litex04:05
*** indy <[email protected]> has joined #litex04:54
*** FabM <FabM!~FabM@2a03:d604:103:600:c641:818c:3ad0:a548> has joined #litex05:20
*** indy <[email protected]> has quit IRC (Ping timeout: 244 seconds)05:55
*** CarlosEDP <CarlosEDP!~carlosedp@2001:470:69fc:105::218e> has quit IRC (*.net *.split)06:12
*** sajattack[m] <sajattack[m]!~sajattack@2001:470:69fc:105::1d9> has quit IRC (*.net *.split)06:12
*** trabucayre <[email protected]> has quit IRC (*.net *.split)06:12
*** mupuf <[email protected]> has quit IRC (*.net *.split)06:12
*** trabucayre <[email protected]> has joined #litex06:13
*** mupuf <[email protected]> has joined #litex06:13
*** sajattack[m] <sajattack[m]!~sajattack@2001:470:69fc:105::1d9> has joined #litex06:17
*** CarlosEDP <CarlosEDP!~carlosedp@2001:470:69fc:105::218e> has joined #litex06:20
*** indy <[email protected]> has joined #litex06:41
*** indy_ <[email protected]> has joined #litex07:19
*** indy <[email protected]> has quit IRC (Ping timeout: 244 seconds)07:19
*** indy_ is now known as indy07:55
*** TMM_ <[email protected]> has quit IRC (Quit: https://quassel-irc.org - Chat comfortably. Anywhere.)10:23
*** TMM_ <[email protected]> has joined #litex10:24
*** ilia__s <[email protected]> has quit IRC (Ping timeout: 268 seconds)11:25
*** ilia__s <[email protected]> has joined #litex11:44
*** TMM_ <[email protected]> has quit IRC (Quit: https://quassel-irc.org - Chat comfortably. Anywhere.)16:25
*** TMM_ <[email protected]> has joined #litex16:25
*** smb784 <[email protected]> has joined #litex16:44
smb784Hey everyone, quick question about block diagrams and litex cores.  I would like to integrate the litepcie core into my design so that I can upload my design via pcie.  Is there a way to generate a block diagram of my design that I can then import to vivado and integrate with my verilog design?  if not, is there a way to output a verilog version of the litepcie design so that I can accomplish the same task without the block design16:46
*** FabM <FabM!~FabM@armadeus/team/FabM> has quit IRC (Quit: Leaving)17:32
acathla_florent_, I tried to use litex_term as it is, but serialboot's writes are not aligned so I had to modify it, and boot.c and other files. Now it can serialflash (if code is not executed from flash of course) but it breaks compatibility with actual litex_term.18:18
acathlaI'm not sure if it's usefull to anyone18:18
acathlaAnd litex_termm send the size of payload as a byte, so either you add 1 somewhere to the size and you won't be able to send frames with payload = 0, or you won't be able to send payloads of 256 bytes.18:21
acathlaSo, may be it's time to break litex_term a bit and fix all those bugs (we could probably keep compatibility with different magic numbers to detect different versions).18:23
_florent_acathla: This features has been contributed in the past, but I removed it since was not easy to maintain and not really used. For flashing, I have a preference to have use external tools (OpenFPGALoader, OpenOCD) or a proper DFU bootloader (ex Foboot) and I'm not sure this should be part of the BIOS.18:36
smb784florent, is there a way to generate a block design or output a verilog version of litepcie so that I can give my custom verilog design the ability to be uploaded via PCIe?19:15
*** smb784 <[email protected]> has quit IRC (Ping timeout: 244 seconds)19:54
*** smb784 <smb784!~smb784@2610:20:6005:197::2c> has joined #litex19:54
acathla_florent_, ok, i'll keep a patch for my own use then19:59
_florent_smb784: you can use the litepcie generator script: https://github.com/enjoy-digital/litepcie/blob/master/litepcie/gen.py20:11
_florent_ex with this config file: https://github.com/enjoy-digital/litepcie/blob/master/examples/ac701.yml20:12
_florent_litepcie_gen ac701.yml will generate a standalone core20:12
_florent_you can also re-integrate the flash core if you want to be able to update the design over PCIe20:15
_florent_https://github.com/litex-hub/litex-boards/blob/master/litex_boards/targets/sqrl_acorn.py#L114-L12420:15
*** smb784 <smb784!~smb784@2610:20:6005:197::2c> has quit IRC (Read error: Connection reset by peer)20:19
*** smb784 <[email protected]> has joined #litex20:33
smb784ok, thanks florent.  If I wanted to reintegrate the flash core, do use a flag with litepcie's gen.py?20:38
smb784or, more specifically, how do I reintegrate the flash core with the pcie core and then output it to a verilog file?20:42
*** andresmanelli <[email protected]> has joined #litex21:40
*** andresmanelli_ <andresmanelli_!~andresman@2a01:cb19:8c36:4900:e9e2:4b35:847:3022> has joined #litex21:50
*** andresmanelli_ <andresmanelli_!~andresman@2a01:cb19:8c36:4900:e9e2:4b35:847:3022> has quit IRC (Quit: Client closed)22:06
andresmanelliHello, I think more than one asked this already but.. is there any concrete exemple of adding a nMigen core to a target built by LiteX?22:17
andresmanelliI know (didn't do it for the moment) that generating the verilog source and adding this as an Instance should work, but I'm not sure how this is included in the build process22:19
andresmanelliThanks!22:20
*** peepsalot <peepsalot!~peepsalot@openscad/peepsalot> has quit IRC (Quit: Connection reset by peep)23:57

Generated by irclog2html.py 2.17.2 by Marius Gedminas - find it at https://mg.pov.lt/irclog2html/!