Wednesday, 2021-09-22

*** tpb <[email protected]> has joined #symbiflow00:00
sf-slack<cjearls> I have a question about the FPGA clock speed setting with a .xdc file with a Nexys4DDR. Can the "create_clock" command be used to create any clocks and automatically configure PLLs? For instance, is there a way to make it so that `create_clock -period 20 [get_ports {clock}]` could be used to have a 50 MHz clock instead of the default 100 MHz clock, or is this something that needs to be done manually?02:14
sf-slack<kgugala> create _clock only informs the tools that there is a clock with certain frequency, so the tools can take this into account while placing/routing. It does not create a real clock - this has to be done in the design05:33
*** lopsided98 <lopsided98!~quassel@2601:18a:0:85e0:c06:c52c:374e:1f90> has quit IRC (Quit: No Ping reply in 180 seconds.)07:46
*** lopsided98 <lopsided98!~quassel@2601:18a:0:85e0:18d3:5b20:2fa3:c45b> has joined #symbiflow07:47
*** cr1901 <cr1901!~William@2601:8d:8600:911:99af:ecfe:75f6:9ed5> has quit IRC (Ping timeout: 260 seconds)08:57
*** TMM_ <[email protected]> has quit IRC (Quit: https://quassel-irc.org - Chat comfortably. Anywhere.)10:10
*** TMM_ <[email protected]> has joined #symbiflow10:10
*** cr1901 <cr1901!~William@2601:8d:8600:911:ac7b:1555:3d5f:1f9f> has joined #symbiflow11:33
*** TMM_ <[email protected]> has quit IRC (Quit: https://quassel-irc.org - Chat comfortably. Anywhere.)15:24
*** TMM_ <[email protected]> has joined #symbiflow15:24

Generated by irclog2html.py 2.17.2 by Marius Gedminas - find it at https://mg.pov.lt/irclog2html/!