Monday, 2021-08-30

*** tpb <[email protected]> has joined #symbiflow00:00
*** lopsided98 <lopsided98!~quassel@2601:18a:0:85e0:5556:9a08:60bc:e3fe> has quit IRC (Quit: Disconnected)00:30
*** lopsided98 <lopsided98!~quassel@2601:18a:0:85e0:2c36:5e1d:ae45:30a8> has joined #symbiflow00:30
sf-slack1<manili.devteam> Hi all, Is there any chances to support `Spartan6 LX9`  whole flow with the `SymbiFlow`, soon?02:19
*** elms <elms!sid284710@wolfssl/developer/elms> has quit IRC (Ping timeout: 258 seconds)02:53
*** elms <elms!sid284710@wolfssl/developer/elms> has joined #symbiflow02:57
*** ecs <ecs!ecs@user/ecs> has quit IRC (*.net *.split)04:41
*** ecs <ecs!ecs@user/ecs> has joined #symbiflow04:42
*** ssb <[email protected]> has quit IRC (*.net *.split)04:45
*** lkcl <[email protected]> has quit IRC (*.net *.split)04:45
*** mithro <[email protected]> has quit IRC (*.net *.split)04:45
*** kgugala <[email protected]> has quit IRC (*.net *.split)04:45
*** ssb <[email protected]> has joined #symbiflow04:45
*** kgugala <[email protected]> has joined #symbiflow04:45
*** mithro <[email protected]> has joined #symbiflow04:45
*** lkcl <[email protected]> has joined #symbiflow04:47
*** TMM_ <[email protected]> has quit IRC (Quit: https://quassel-irc.org - Chat comfortably. Anywhere.)09:23
*** TMM_ <[email protected]> has joined #symbiflow09:23
sf-slack1<pepermont> Hello, I am trying to experiment with fasm2bels but I get (17) errors when running the `make test-py` step.09:53
sf-slack1<pepermont> In each case the error is09:54
sf-slack1<pepermont> ```KeyError: 'INTERCHANGE_SCHEMA_PATH'```09:54
sf-slack1<pepermont> Do you have any idea about what might I be doing wrong?09:54
sf-slack1<kgugala> @acomodi can you take a look at this?09:57
sf-slack1<acomodi> @pepermont there is a CI script that performs the tests and prepares the environment here https://github.com/SymbiFlow/symbiflow-xc-fasm2bels/blob/e8326006b8d341157217479a2b4d5fb824cdd534/.github/workflows/ci.yml#L60-L62 and https://github.com/SymbiFlow/symbiflow-xc-fasm2bels/blob/e8326006b8d341157217479a2b4d5fb824cdd534/.github/workflows/test.sh#L10-L11. For the time being you may try to clone those repos and export10:06
sf-slack1the environment variables.10:06
sf-slack1<pepermont> @acomodi Thank you, I tried running the lines you highlighted. For the first 3 I had to add sudo for them to pass (I am sorry but I am not very experienced in Linux). Now the errors got reduced to 16 from 17. The errors start with ```untimeWarning: Unable to import fast Antlr4 parser implementation.   ImportError: cannot import name 'antlr_to_tuple' from 'fasm.parser'```12:21
sf-slack1<pepermont> After that it runs and then the error that correspond to the 16 errors is ```OSError: File not found: /fpga-interchange-schema/interchange/References.capnp```12:22
sf-slack1<acomodi> @pepermont The first one is actually a runtime warning. There are two parsers for the FASM files, one is implemented with antlr4 that requires some further steps to correctly install it, and the other uses a basic python library, namely textx. The warning informs you that the xcfasm library could not find the antlr parser and falls back to use textx, which is slower12:25
sf-slack1<pepermont> Interesting, maybe I will try that as well if you have a tutorial somewhere, but I guess after I have it working overall :)12:26
sf-slack1<acomodi> The second error, I believe is due to the fact that those lines (https://github.com/SymbiFlow/symbiflow-xc-fasm2bels/blob/e8326006b8d341157217479a2b4d5fb824cdd534/.github/workflows/test.sh#L10-L11) are to be used exclusevely in the GH actions. try to replace `$GITHUB_WORKSPACE` with `$(pwd)` , and make sure to be in the same directory where you cloned the required repositories12:28
sf-slack1<pepermont> @acomodi Thank you, it worked!12:43
sf-slack1<pepermont> @acomodi Could you give me an example on how to invoke fasm2bels? I am a bit confused13:15
sf-slack1<acomodi> An example would be: `python3 -mfasm2bels --connection_database conn.db --db_root $(pwd)/third_party/prjxray-db/artix7 --part xc7a35tcsg324-1 --fasm_file <path to FASM file> <path to verilog output> <path to XDC output>`13:29
sf-slack1<acomodi> the `conn.db` file, if it is not present will be generated automatically, and, if you do not have the `fasm_file` but a bitstream, you can pass `--bit_file <path to bitstream>` instead and the conversion to FASM will happen automatically13:31
sf-slack1<acomodi> As outputs you will get a verilog design and a corresponding XDC constraints13:31
sf-slack1<pepermont> @acomodi Thanks! The error I get when trying to run that is ```    from prjxray import fasm_disassembler ModuleNotFoundError: No module named 'prjxray'```13:42
sf-slack1<acomodi> Right, probably you'd need to enter the environment (assuming you ran the `make env` command). Try running `source env/bin/activate`13:44
sf-slack1<pepermont> thank you @acomodi ! To make the command work I needed to add `--verilog_file` and `--xdc_file` before giving the paths14:05
sf-slack1<pepermont> Also in the readme it talks about how to deal with the .tcl file that used to be exported instead of an .xdc in previous versions of the tool. Should it be updated? (Testing on the same bitstream-fasm pair the results of the current xcd-based version are better, as expected ^^)14:10
sf-slack1<acomodi> Yes, I guess the README needs a further update for the `--verilog_file` and `--xdc_file`  (you are right and the tcl output is not produced anymore)14:34
sf-slack1<pepermont> I could try to update it(after experimenting a bit more with installing it on other systems as well) if that would be helpful to you @acomodi15:40
sf-slack1<acomodi> @pepermont that would be much helpful indeed, also because it is not excluded for you to find some other inconsistencies in the documentation when experimenting. Improvements to the docs are always welcome :)15:45
sf-slack1<pepermont> Great then I will work on it :) By the way is there any guide on how to install the antlr4 parser?15:46
sf-slack1<acomodi> Yep, it should be here: https://github.com/SymbiFlow/fasm15:51
sf-slack1<pepermont> Thank you!15:53
mithrohttps://antmicro.com/blog/2021/08/verible-integration-with-github-actions/15:57
tpbTitle: Antmicro ยท Automatic SystemVerilog linting in GitHub Actions with Verible (at antmicro.com)15:57
*** TMM_ <[email protected]> has quit IRC (Quit: https://quassel-irc.org - Chat comfortably. Anywhere.)19:38
*** TMM_ <[email protected]> has joined #symbiflow19:38
*** adjtm_ <[email protected]> has quit IRC (Read error: Connection reset by peer)21:38
*** adjtm <adjtm!~adjtm@2a0c:5a80:3a13:df00:86fc:2d84:6644:d92f> has joined #symbiflow21:38

Generated by irclog2html.py 2.17.2 by Marius Gedminas - find it at https://mg.pov.lt/irclog2html/!