Friday, 2021-07-16

*** tpb <[email protected]> has joined #symbiflow00:00
mithroYay - https://www.crowdsupply.com/great-scott-gadgets/luna01:02
tpbTitle: LUNA | Crowd Supply (at www.crowdsupply.com)01:02
*** ecs <ecs!ecs@sourcehut/interns/ecs> has joined #symbiflow06:39
*** TMM_ <[email protected]> has quit IRC (Quit: https://quassel-irc.org - Chat comfortably. Anywhere.)09:23
*** TMM_ <[email protected]> has joined #symbiflow09:23
tpb<p​eterb> hello, i've got something which is probably a beginner's question and i hope i am at the right place here.09:35
tpb<p​eterb> few days ago, i've started looking into FPGA development using only open source toolchains:09:35
tpb<p​eterb> first i got an iCEstick, built the icestorm tools and was able to "compile" a few simple Verilog examples (yosys; arachne-pnr; icepack; iceprog) and run them on the iCEstick hardware. hooray!09:35
tpb<p​eterb> then i was aiming at SymbiFlow: using the info in symbiflow-examples.readthedocs.io i managed to install SymbiFlow, built some of the examples for Artix-7 / Arty 35T and even compiled another own Verilog example down to "top.bit". (my arty board has not arrived yet, so i could not run any examples on the real hardware yet.)09:36
tpb<p​eterb> the next step that i am aiming for is to target ice40 using SymbiFlow instead of plain icestorm. (why? to use the identical toolchain both for xc7 and ice40. and maybe at one point even be able to compile the same verilog file to bitstreams for Arty A7 and iCEstick with the same toolchain.)09:36
tpb<p​eterb> but now i'm stuck: the example documentation (symbiflow-examples.readthedocs.io) only talks about Artix-7 and EOS-S3, but not ICE40. as far as i understand it, i need architecture definitions for ice40, ideally pre-built ones like those provided for Artix-7 and EOS-S3. i could not find any, so i tried my luck installing symbiflow-arch-defs but have09:36
tpb<p​eterb> no real clue what to do with the stuff in that repository.09:36
tpb<p​eterb> can anyone help me? is my goal -- ice40 with SymbiFlow toolchain -- supported? if yes, how do i do it? can i get pre-built architecture definitions for ice40 somewhere?09:36
tpb<s​f-slack1> <kgugala> it is (somehow) supported, but not really tested extensively09:37
tpb<s​f-slack1> <kgugala> I assume it has many bugs09:37
tpb<p​eterb> that's good to know09:38
tpb<s​f-slack1> <kgugala> icestorm (with nextpnr) will be a better choice for ice40 FPGAs09:39
tpb<p​eterb> so would the recommendation be to use SymbiFlow when targeting xc7 and the icestorm tools directly when targeting ice40? and then set up the build process that the right tools are used for the chosen target architecture?09:40
tpb<p​eterb> i had no background knowledge about SymbiFlow so far, but had read "Currently, it targets the Xilinx 7-Series, Lattice iCE40, Lattice ECP5 FPGAs, QuickLogic EOS S3 and is gradually being expanded to provide a comprehensive end-to-end FPGA synthesis flow." as the headline on symbiflow.github.io09:41
tpb<p​eterb> that's why i assumed that my goal might be supported "out of the box"09:41
tntpeterb: edalize might be able to abstract that for you09:41
tpb<p​eterb> @tpb09:43
tpb<p​eterb> @tpb thanks for the hint -- i did not know edalize. looks good on first sight09:43
tpb<s​f-slack1> <pgielda> Symbiflow is an umbrella project to improve the state of open source FPGA (and ASIC somewhat as some tools overlap) tool(chains)10:29
tpb<s​f-slack1> <pgielda> For Xilinx it uses VPR by default as place & route tool. But you can also use nextpnr -- and there is ongoing work on interchange format that make tools more interoperablr10:30
tpb<s​f-slack1> <pgielda> The whole idea of open source is that you have freedom to mix and match10:30
tpb<s​f-slack1> <pgielda> Obviously it would be great to improve/add better support for Lattice in VPR but for now nextpnr is more production ready10:31
tpb<s​f-slack1> <pgielda> For Xilinx Series-7 VPR works great and if something does not work you can open an issue and we will try to fix it hopefully.10:31
tpb<s​f-slack1> <rodrigomelo9> Hi peterb. I had been working on https://github.com/PyFPGA/symbiflow_cli, a proof-of-concept that has the intention of unifying the flows that you described, and others. Currently, it supports ice40 and ECP5 devices. Moreover, it also supports VHDL, not Verilog only.11:39
tpb<s​f-slack1> <rodrigomelo9> I was extremely busy at work, but the idea is to add support for Xilinx and Quicklogic devices in the near future. These flows are using VPR and are a little more complex than NextPNR, need more options, of course, for more advanced devices.11:42
tpb<s​f-slack1> <rodrigomelo9> An extra feature is that it supports the employment of OCI containers, so if you have docker installed, you don't need to install anything more ;) Could be useful to have somebody using/testing it, reporting issues, etc.11:44
*** Wolf0_gen2 <Wolf0_gen2!~Wolf0@user/wolf0> has joined #symbiflow13:12
*** lkcl- <[email protected]> has joined #symbiflow13:13
*** Wolf0 <Wolf0!~Wolf0@user/wolf0> has quit IRC (*.net *.split)13:18
*** lkcl <[email protected]> has quit IRC (*.net *.split)13:18
tpb<p​eterb> thanks @pgielda and @rodrigomelo9 for the further clarifications and the information about symbiflow_cli -- i'll have a look13:56
*** Wolf0_gen2 is now known as Wolf014:29
*** TMM_ <[email protected]> has quit IRC (Quit: https://quassel-irc.org - Chat comfortably. Anywhere.)15:34
*** TMM_ <[email protected]> has joined #symbiflow15:34
mithrohttps://ci.betrusted.io/betrusted-soc/doc/engine.html is very pretty17:32
tpbTitle: ENGINE — LiteX SoC Project documentation (at ci.betrusted.io)17:32
*** RaitoBezarius <RaitoBezarius!~Raito@wireguard/tunneler/raito-bezarius> has joined #symbiflow20:42
*** Raito_Bezarius <Raito_Bezarius!~Raito@wireguard/tunneler/raito-bezarius> has quit IRC (Ping timeout: 240 seconds)20:42
*** Raito_Bezarius <Raito_Bezarius!~Raito@wireguard/tunneler/raito-bezarius> has joined #symbiflow20:45
*** RaitoBezarius <RaitoBezarius!~Raito@wireguard/tunneler/raito-bezarius> has quit IRC (Ping timeout: 255 seconds)20:47
*** Raito_Bezarius <Raito_Bezarius!~Raito@wireguard/tunneler/raito-bezarius> has quit IRC (Client Quit)20:47
*** Raito_Bezarius <Raito_Bezarius!~Raito@wireguard/tunneler/raito-bezarius> has joined #symbiflow20:47

Generated by irclog2html.py 2.17.2 by Marius Gedminas - find it at https://mg.pov.lt/irclog2html/!