Sunday, 2021-05-02

*** tpb has joined #yosys00:00
*** Degi_ has joined #yosys00:17
*** Degi has quit IRC00:19
*** Degi_ is now known as Degi00:19
*** peeps[zen] has joined #yosys00:25
*** peepsalot has quit IRC00:26
*** FFY00_ has quit IRC02:50
*** citypw has joined #yosys03:20
*** peeps[zen] is now known as peepsalot04:33
*** danvet has joined #yosys07:49
*** danvet has quit IRC08:30
*** jophish has joined #yosys09:22
jophishHi all09:23
jophishI've got a blink.vhdl example, and I'd like to be sure that the LED will be both on and off. I've specified this as PSL, however I can't seem to get this to work with symbiyosys (for example when I make the design break, it still reports everything is A-OK09:29
jophishThis is the source and the sby spec, along with its stout: https://gist.github.com/61562eaa4980d5a9b09e3d03d4c6229c09:31
jophishit complains that my reset signal is never touched, and I suspect that this might be part of the problem09:31
gatecatjophish: I think you might need to pass -fpsl to ghdl?09:55
gatecatprobably at the analysis stage09:55
jophishah, I did indeed figure that out and forgot to report here!09:56
jophishthanks gatecat09:56
jophish(although ghdl then goes on to choke on my psl comments)09:56
jophishhave got the same problem with systemverilog however: https://gist.github.com/cf9b14fdc58a45fb9c030cf59c2e2a8a09:57
jophishhere I'm asserting that a const `0` is covered :)09:57
jophishI'm sure that it's because of this funky reset09:59
gatecatYosys doesn't support PSL comments in SystemVerilog10:07
gatecat`always @(posedge clk_i) cover(s_0);`, outside of a comment, should work, though10:08
jophishah, these are SVA comments I believe10:09
gatecatright, SVA comments aren't supported either10:09
gatecatonly a subset of SVA, not in comments, are supported by Yosys (at least the OSS version)10:09
gatecatTabby CAD (Yosys with a Verific based frontend) supports a much bigger range of SVA features, but I still believe it requires the SVA to be in the body of the code and not in comments10:10
jophishah, gotcha, indeed it works when they're not in comments10:10
jophishIs there a name for the commented mode and non commented mode so I can properly make a feature request for clash?10:10
jophishAlso, is there an example anywhere of SVA being used with Yosys10:24
jophishI seem to be getting a syntax error trying to use a property statement10:25
jophishhttps://gist.github.com/5830708604f948e60f15eb7bff5be8ab10:25
jophishcomplains about that `TOK_PROPERTY`10:25
jophishactually to avoid an X-Y problem, is there a way to name properties so that instead of `Unreached cover statement at blink.sv:43.26-43.36.` I can get some pretty name?10:36
jophishah, `  always @(posedge clk_i) isOn: cover (result);`10:40
gatecat11:10 AM <jophish> Is there a name for the commented mode and non commented mode so I can properly make a feature request for clash?10:43
gatecatI've only ever seen the commented mode used for PSL before10:43
gatecatI didn't even know it was an option for SVA until now...10:43
jophishwell, I think I've about implemented Yosys compatible covers and assertions for clash :D10:45
*** danvet has joined #yosys11:25
*** vidbina has joined #yosys12:12
jophishhmm, `nextpnr-ecp5` errors out when given code with a `cover` directive in: `ERROR: cell type '$cover' is unsupported (instantiated as 'isOff')`12:59
jophishDo I need to wrap these in `ifdef formal` or something?13:00
jophishseems as though they could (in theory) provide some useful information to the compiler...13:02
*** citypw has quit IRC13:39
*** jakobwenzel1 has joined #yosys13:52
*** roamingr1 has joined #yosys14:11
*** roamingr1 has quit IRC15:14
*** jakobwenzel1 has quit IRC15:33
Loftyjophish: yes, they're not synthesisable.15:37
jophish:thu15:37
jophish👍️ *15:37
*** FFY00_ has joined #yosys18:04
*** moony has quit IRC19:47
*** moony has joined #yosys19:53
*** krispaul has quit IRC20:02
*** krispaul has joined #yosys20:03
*** danvet has quit IRC22:01
*** lf_ has quit IRC23:16
*** lf has joined #yosys23:16

Generated by irclog2html.py 2.17.2 by Marius Gedminas - find it at https://mg.pov.lt/irclog2html/!