Monday, 2020-09-28

*** tpb has joined #yosys00:00
*** kristianpaul has quit IRC00:01
*** kristianpaul has joined #yosys00:02
*** Degi has quit IRC02:51
*** Degi has joined #yosys02:51
*** N2TOH has joined #yosys03:50
*** citypw has joined #yosys04:18
*** citypw has quit IRC06:27
*** citypw has joined #yosys06:28
*** emeb_mac has quit IRC06:46
*** jakobwenzel has joined #yosys07:22
z0ttelDoes symbiyosys "prove basecase" differ from bmc and can I give it a separate depth than the induction?08:33
daveshahIt doesn't differ afaik, but there is no way of giving it a different depth08:39
daveshahI'm not sure why you'd want a different depth though. Lower would render the proof unsound, higher would be superfluous08:40
z0tteloh, okay I realize my error now, induction depends on $depth steps too... okay, thx08:41
z0ttelBut, an optimisation would then be to check induction results after each basecase step and terminate with PASS when induction was successful with less steps than basecase has so far?08:52
daveshahNo, because induction differs between the first N-1 steps and the final N step08:52
*** chipb has quit IRC09:00
*** chipb has joined #yosys09:00
z0ttelSo the command line output counting down induction steps isn't SymbiYosys trying to solve the full induction with less than $depth?09:02
*** vidbina has joined #yosys09:22
*** Asu has joined #yosys09:25
daveshahNo, the way the induction part works is N-1 cycles assuming that assertions are true then checking assertions on the final cycle09:26
*** peeps[zen] has joined #yosys09:37
*** peepsalot has quit IRC09:37
z0ttelI mean, I now realise that a k-induction proof essentially proves: (assertions hold for k-1 steps) -> (assertions holds in step k) with a base case of step 0 to k-109:41
z0ttelBut Symbiyosys counts down the induction steps starting at k and, depending on what I'm trying to prove, stops the induction step at different steps with a PASS result.09:42
*** strobokopp has joined #yosys09:48
*** show1 has quit IRC10:37
*** show has joined #yosys10:39
*** jakobwenzel1 has joined #yosys11:31
*** kristianpaul has quit IRC13:01
*** kristianpaul has joined #yosys13:07
*** emeb has joined #yosys14:14
*** jakobwenzel1 has quit IRC16:01
*** citypw has quit IRC16:23
*** az0re has quit IRC17:20
*** az0re has joined #yosys17:22
ZipCPUz0ttel: I've asked for this optimization for some time.  If induction can prove PASS in 5 steps, the basecase should be ended after 5 steps.18:30
ZipCPUThere's another optimization you can do as well, but I don't know that the tool could do it, so sometimes you can end your proof early: If induction finishes in 10 steps, and the basecase is stuck on step 3--but yet you know your design can enter into an idle state on step 2, then you can know that your design will pass18:31
*** kraiskil has joined #yosys19:30
thardindamn the ecp5's are nice. it's looking like finding ADCs to feed them that don't cost an arm and a leg is trickier20:01
tntfor some of them a single kidney is enough.20:04
*** emeb_mac has joined #yosys20:40
*** _whitelogger has quit IRC21:04
*** _whitelogger has joined #yosys21:07
*** thardin has quit IRC22:21
*** Asu has quit IRC22:25
cr1901_modernI don't remember why the k-induction counts down, but it confuses me too (since if you look at the SMT files generated, k-induction will _add_ more states as the k-induction counter counts down)22:57
*** kristianpaul has quit IRC23:14
*** kristianpaul has joined #yosys23:14
*** lf has quit IRC23:17
*** lf has joined #yosys23:18
*** kraiskil has quit IRC23:26
*** emeb has left #yosys23:32
*** kraiskil has joined #yosys23:43
*** vidbina has quit IRC23:56

Generated by irclog2html.py 2.17.2 by Marius Gedminas - find it at https://mg.pov.lt/irclog2html/!