Tuesday, 2020-08-25

*** tpb has joined #yosys00:00
*** craigo has quit IRC00:22
*** FFY00 has quit IRC00:47
*** FFY00 has joined #yosys00:48
*** craigo has joined #yosys01:30
*** kristianpaul has quit IRC02:09
*** az0re has quit IRC02:10
*** kristianpaul has joined #yosys02:10
*** citypw has joined #yosys02:27
*** craigo has quit IRC02:41
*** SpaceCoaster has quit IRC03:18
*** az0re has joined #yosys03:55
*** Degi has quit IRC03:58
*** Degi has joined #yosys03:59
*** citypw has quit IRC04:24
*** citypw has joined #yosys04:25
*** nelgau has quit IRC04:28
*** nelgau has joined #yosys04:58
*** nelgau has quit IRC05:04
*** nelgau has joined #yosys05:05
*** nelgau has quit IRC05:10
*** nelgau has joined #yosys05:11
*** nelgau has quit IRC05:16
*** Asu has joined #yosys06:35
*** Asu has joined #yosys06:35
*** xtro has quit IRC06:47
*** emeb_mac has quit IRC06:58
*** kraiskil has joined #yosys07:32
*** kraiskil has quit IRC07:43
*** kraiskil has joined #yosys07:50
*** Sarayan has joined #yosys08:47
SarayanIs someone smarter than me capable of running a software simulation of https://github.com/ijor/fx68k ?08:48
tpbTitle: GitHub - ijor/fx68k: FX68K 68000 cycle accurate SystemVerilog core (at github.com)08:48
*** nurelin has quit IRC09:00
*** nelgau has joined #yosys09:13
*** nelgau has quit IRC09:18
*** nelgau has joined #yosys09:27
*** nelgau has quit IRC09:32
*** nelgau has joined #yosys09:35
*** nelgau has quit IRC09:40
*** nelgau has joined #yosys09:48
LoftySarayan: it might simulate under GHDL or something09:53
*** nelgau has quit IRC09:53
*** pointfree has quit IRC10:14
*** pointfree has joined #yosys10:16
*** tannewt has quit IRC10:48
*** y2kbugger has quit IRC10:48
*** thoughtpolice has quit IRC10:49
*** ovf has quit IRC10:49
*** esden has quit IRC10:51
*** esden has joined #yosys11:00
*** y2kbugger has joined #yosys11:00
*** thoughtpolice has joined #yosys11:01
*** tannewt has joined #yosys11:01
*** ovf has joined #yosys11:03
*** Asu has quit IRC11:31
*** Asu has joined #yosys11:31
*** indy has quit IRC11:34
*** kraiskil has quit IRC11:46
*** nelgau has joined #yosys11:49
*** indy has joined #yosys11:52
*** nelgau has quit IRC11:54
*** nelgau has joined #yosys12:31
Sarayanghdl does vhdl, not system verilog12:35
LoftyI got it mixed up with the other m68k core which is VHDL12:41
Sarayanthere's an other cycle-precise 68k core?12:43
*** DaKnig has quit IRC12:44
*** DaKnig has joined #yosys12:46
LoftySarayan: TG68K. https://opencores.org/projects/tg6812:49
*** kraiskil has joined #yosys12:50
Loftyhttps://github.com/MiSTer-devel/Minimig-AGA_MiSTer/tree/MiSTer/rtl/tg68k might be more updated, I dunno12:52
tpbTitle: Minimig-AGA_MiSTer/rtl/tg68k at MiSTer · MiSTer-devel/Minimig-AGA_MiSTer · GitHub (at github.com)12:52
*** alexhw has quit IRC12:58
*** alexhw has joined #yosys13:02
*** kraiskil has quit IRC13:04
*** SpaceCoaster has joined #yosys13:31
thardinis it possible to have input arrays somehow besides flattening?13:44
thardinlike  input [11:0] values [0:3]13:44
thardinI tried using systemverilog13:45
thardinand using wire instead of input13:45
Loftythardin: what's your use case for that?13:46
thardinright now I'm trying to dump some 12-bit values to an LCD as hex13:47
SarayanLofty: Not cycle-exact, just an inspired reimplementation13:49
Loftyhttps://github.com/YosysHQ/yosys/issues/2354 <-- mmm.15:16
tpbTitle: Is there a way to specify internal pullups for the ICE40 family? · Issue #2354 · YosysHQ/yosys · GitHub (at github.com)15:16
daveshahhttps://github.com/YosysHQ/nextpnr/blob/master/docs/ice40.md15:24
tpbTitle: nextpnr/ice40.md at master · YosysHQ/nextpnr · GitHub (at github.com)15:24
*** Thorn has quit IRC15:44
*** Thorn has joined #yosys16:29
*** lambda has quit IRC16:35
*** citypw has quit IRC16:40
*** lambda has joined #yosys16:40
*** Thorn has quit IRC16:50
Sarayan'/lastlog cuavas16:54
Sarayangah16:54
*** kraiskil has joined #yosys17:02
*** xtro has joined #yosys17:12
*** az0re has quit IRC18:12
*** captain_morgan has quit IRC18:32
*** captain_morgan has joined #yosys18:33
*** kraiskil has quit IRC18:43
*** Asu has quit IRC18:50
*** Asu has joined #yosys18:50
*** thoughtpolice has quit IRC18:52
*** thoughtpolice has joined #yosys18:53
*** Asu has quit IRC18:57
*** Asu has joined #yosys18:58
*** lambda has quit IRC19:13
*** lambda has joined #yosys19:40
*** az0re has joined #yosys19:41
*** emeb_mac has joined #yosys20:37
*** lambda has quit IRC20:41
*** lambda has joined #yosys20:57
*** Thorn has joined #yosys21:13
*** Asu has quit IRC22:22
*** emeb_mac has quit IRC23:31
*** emeb_mac has joined #yosys23:33
*** lf has quit IRC23:54
*** lf has joined #yosys23:55

Generated by irclog2html.py 2.17.2 by Marius Gedminas - find it at https://mg.pov.lt/irclog2html/!