Wednesday, 2020-07-22

*** tpb has joined #yosys00:00
*** az0re has quit IRC00:00
*** strongsaxophone has quit IRC00:02
*** awordnot has quit IRC00:06
*** awordnot has joined #yosys00:07
*** az0re has joined #yosys00:54
*** Degi has quit IRC01:09
*** Degi has joined #yosys01:09
*** citypw has joined #yosys02:23
*** citypw has quit IRC03:55
*** proteusguy has quit IRC04:40
*** proteusguy has joined #yosys04:41
*** anishathalye has quit IRC04:52
*** somlo has quit IRC05:11
*** bzztploink has quit IRC05:13
*** craigo has joined #yosys05:24
*** ZipCPU has quit IRC05:41
*** ZipCPU has joined #yosys05:41
*** jakobwenzel1 has joined #yosys06:38
*** svenn has joined #yosys06:39
*** emeb has quit IRC06:40
*** citypw has joined #yosys07:03
*** xtro has quit IRC07:31
*** proteusguy has quit IRC07:33
*** proteusguy has joined #yosys07:37
*** proteusguy has quit IRC08:04
*** kraiskil has joined #yosys08:10
*** Asu has joined #yosys08:27
*** kraiskil has quit IRC08:51
*** proteusguy has joined #yosys08:54
*** dormito has quit IRC09:26
*** somlo has joined #yosys09:39
*** X-Scale` has joined #yosys09:58
*** X-Scale has quit IRC10:01
*** X-Scale` is now known as X-Scale10:01
*** dormito has joined #yosys11:00
*** bzztploink has joined #yosys11:38
*** citypw has quit IRC11:55
*** Lofty has quit IRC12:02
*** ZirconiumX has joined #yosys12:08
*** ZirconiumX is now known as Lofty12:08
*** heijligen has quit IRC12:38
*** dxld has quit IRC12:38
*** Kamilion has quit IRC12:38
*** nurelin has quit IRC12:40
*** fevv8[m] has quit IRC12:40
*** promach3 has quit IRC12:40
*** heijligen has joined #yosys12:42
*** dxld has joined #yosys12:42
*** Kamilion has joined #yosys12:42
*** Kamilion has quit IRC12:42
*** nurelin has joined #yosys12:48
*** Kamilion has joined #yosys12:51
*** citypw has joined #yosys13:07
*** fevv8[m] has joined #yosys13:14
*** promach3 has joined #yosys13:14
*** Asu has quit IRC13:24
*** Asu has joined #yosys13:24
*** Asu has joined #yosys13:25
*** Asu has quit IRC13:34
*** Asu has joined #yosys13:35
*** citypw has quit IRC14:06
LoftyOnly in Verilog can you accidentally create numbers the universe does not have enough entropy to represent14:19
LoftyWell. Not "only" in Verilog, I suppose14:19
LoftyBut still14:19
whitequarkLofty: https://nmigen.info/nmigen/latest/lang.html#lang-hugeshift14:25
tpbTitle: Language guide nMigen toolchain 0.3.dev143 documentation (at nmigen.info)14:25
Loftywhitequark: I'm pretty sure pysim will also break on a 208GiB Signal14:30
whitequarknot necessarily, actually14:32
whitequarkas long as you only use the low bits i think it might be f... ah no14:32
whitequarkthe mask will be that long14:32
whitequarkit will14:32
LoftySo nMigen probably also has some point where it can't feasibly represent some signals.14:35
whitequarkyep14:36
whitequarkit already rejects signals over 16 Mbits in back.rtlil14:36
whitequarkVerilog only requires support for 64 Kbits, and Yosys flat out breaks on 4 Gbits (the lexer has UB at that point)14:36
whitequark(or had, I might have fixed it)14:36
whitequarkI'm gonna lower that to 1 Mbit, I think14:37
daveshahI would suggest a 64kbit limit for now14:37
whitequarkdaveshah: thanks, will do14:37
daveshahiirc even 128kbit ish was killing performance in some cases14:37
whitequarkoh yeah I misremembered14:42
whitequarkhm, wait14:43
mwkhmm14:44
mwkhow are things like memory init values handled?14:45
mwkthese could very reasonably grow Big14:45
whitequarkin yosys or?14:45
daveshahoh yeah, hmm14:45
mwknmigen14:45
whitequarknmigen emits a single $meminit cell with \DATA connected immediately to a constant14:46
whitequarkso no wires there14:46
mwkalright, good enough then14:47
mwk(for nmigen at least; yosys still make a SigSpec out of it, hmmmm)14:48
*** Ristovski has left #yosys14:50
mwkhow are $meminit with non-const ADDR / DATA useful?14:50
whitequarkmwk: lets you do things like mem[0] = 1+1;14:51
whitequarkwithout having to evaluate it in the frontend, i gess14:51
mwkoh, hm14:51
mwkbut doesn't the frontend already have to know how to evaluate const expressions for things like cell parameters anyway?14:57
whitequarkno idea14:57
daveshahyeah, it does14:58
daveshahalso for things like wire widths14:58
mwk... petition to change it to parameters instead of ports during memory inference redesign?15:00
daveshahdon't have an immediate objection but there may be a subtlety I'm missing15:00
*** jakobwenzel1 has quit IRC15:59
*** kraiskil has joined #yosys16:05
*** kraiskil has quit IRC16:24
*** kraiskil has joined #yosys16:30
*** xtro has joined #yosys17:27
*** emeb has joined #yosys18:35
emebAm I missing something or are the ECP5 PLLs and other clock management cells not yet supported by yosys?18:36
daveshah?18:36
daveshahThey are supported fine18:36
emebLooking through cells_sim.v I don't see the instantiation templates.18:37
daveshahThey are in cells_bb.v18:37
emebthx18:37
Loftydaveshah: are PLLs actually simulatable?19:00
LoftyIt seems difficult to model (to me)19:00
emebIt can be done, but it's usually a hack in verilog. I've done it by making a behavioral model of what a PLL does, but it's really hard to match the actual loop dynamics.19:16
emebHmm... when I try to instantiate the EHXPLL yosys is happy and nextpnr seems fine through most of the process but then throws an assertion during routing.19:18
emebTerminate called after throwing an instance of 'nextpnr_ecp5::assertion_failure'19:19
emeb  what():  Assertion failure: is_string (/home/ericb/build/trellis/nextpnr/common/nextpnr.h:362)19:19
*** oldtopman has quit IRC19:36
*** lansiir has joined #yosys19:36
*** kraiskil has quit IRC19:36
*** m4ssi has joined #yosys20:04
*** Asu has quit IRC20:10
*** N2TOH_ has joined #yosys20:26
*** N2TOH has quit IRC20:29
*** dormito has quit IRC20:35
*** emeb_mac has joined #yosys21:03
*** m4ssi has quit IRC21:12
*** kraiskil has joined #yosys22:22
*** bzztploink has quit IRC22:25
*** N2TOH has joined #yosys22:47
*** N2TOH_ has quit IRC22:51
*** kraiskil has quit IRC22:52
*** bzztploink has joined #yosys23:07
*** lf has quit IRC23:39
*** lf_ has joined #yosys23:39

Generated by irclog2html.py 2.17.2 by Marius Gedminas - find it at https://mg.pov.lt/irclog2html/!