Friday, 2020-05-22

*** tpb has joined #yosys00:00
mithroWhat is the magic sequence which tells verilog to not magically invent new variables -- something like `\`default_nettype none` ?01:42
mithroLooks like it is exactly "`default_nettype none"?01:43
*** futarisIRCcloud has quit IRC01:45
*** citypw has joined #yosys02:23
ZipCPUmithro: Yes, that's it: `default_nettype none02:45
*** BinaryLust has quit IRC02:46
*** BinaryLust has joined #yosys02:54
*** az0re has quit IRC03:00
*** futarisIRCcloud has joined #yosys03:01
*** Degi has quit IRC03:09
*** Degi has joined #yosys03:10
*** FFY00 has quit IRC03:20
*** FFY00 has joined #yosys03:21
*** az0re has joined #yosys03:21
*** _whitelogger has quit IRC04:42
*** _whitelogger has joined #yosys04:44
*** adjtm has quit IRC05:22
whitequarkmithro: (joke answer) pip install nmigen05:57
*** adjtm has joined #yosys05:58
*** kgugala_ has joined #yosys06:02
*** kgugala has quit IRC06:03
*** az0re has quit IRC06:23
*** kgugala_ has quit IRC06:25
*** emeb_mac has quit IRC06:31
*** kgugala has joined #yosys06:31
*** dys has joined #yosys06:47
*** jakobwenzel has joined #yosys07:18
*** citypw has quit IRC07:35
*** BinaryLust has quit IRC07:56
*** vidbina has joined #yosys07:57
*** kgugala has quit IRC07:57
*** kgugala has joined #yosys07:58
*** Asu has joined #yosys08:46
*** captain_morgan has quit IRC09:11
*** captain_morgan has joined #yosys09:13
*** _whitelogger has quit IRC11:45
*** _whitelogger has joined #yosys11:47
*** strobokopp has joined #yosys12:29
ZirconiumXSo, I compared ABC9 sequential synthesis for synth_intel_alm. It seems to help at least a little bit area-wise12:51
ZirconiumXThere's a very slight dip in Fmax, but I'm willing consider it noise12:52
ZirconiumXhttps://gist.github.com/ZirconiumX/a9b2c4bc7f5be27962415d698e5495cf12:52
tpbTitle: dff.txt ยท GitHub (at gist.github.com)12:52
qu1j0t33112:52
ZirconiumXcc whitequark and mwk12:52
*** jfcaron has joined #yosys13:05
*** vidbina has quit IRC13:06
*** X-Scale` has joined #yosys13:22
*** X-Scale has quit IRC13:23
*** X-Scale` is now known as X-Scale13:23
*** X-Scale has quit IRC13:37
*** X-Scale` has joined #yosys13:38
*** X-Scale` is now known as X-Scale13:39
*** mkru has joined #yosys13:40
*** emeb has joined #yosys13:50
*** Laksen has joined #yosys13:55
*** strobokopp has quit IRC14:56
*** strobokopp has joined #yosys14:56
*** az0re has joined #yosys15:16
*** dys has quit IRC15:17
*** Laksen has quit IRC15:57
*** kgugala has quit IRC16:07
*** kgugala has joined #yosys16:13
*** kgugala_ has joined #yosys16:25
*** kgugala has quit IRC16:26
*** BinaryLust has joined #yosys17:04
*** kgugala has joined #yosys17:21
*** kgugala_ has quit IRC17:24
tntZirconiumX: I tried -dff on a design of mine : https://pastebin.com/LeLxEvix17:47
tpbTitle: Min Avg Max abc-nomince clk_30m72: 23.460000 26.6 - Pastebin.com (at pastebin.com)17:47
ZirconiumXtnt: it's ABC9 *and* dff which is the new bit17:47
tnt(comparing abc / abc9 / abc9+dff   each with dffe_min_ce and without.  64 PNR runs)17:48
tntI know.17:48
ZirconiumXIt wasn't clear from your pastebin, sorry17:48
ZirconiumXIs clk_30m72 meant to be 30.72 MHz?17:49
tntyup17:49
tntIt did meet it at some point in the past :/17:50
ZirconiumXSo dff seems to help a reasonable amount there actually17:50
ZirconiumXNot so much for the 30.72 MHz path, but17:51
tnthuh ? Where do you see thast it helps ?17:51
ZirconiumXI'm looking mostly at worst-case numbers here.17:52
ZirconiumXOkay, maybe I'm struggling to parse the numbers here17:53
ZirconiumXThis probably wants like a candle chart or something17:53
ZirconiumXI'm presuming this is iCE4017:54
ZirconiumXHmm...17:55
tntUP5k yes.17:55
tntargs to yosys were  -abc9 -device u -dff17:56
tntsomething to compare the histograms would be useful but ... I don't have anything like that.17:56
tntit might just be that the critical path really can't be helped much.17:57
ZirconiumXtnt: matplotlib17:57
tntI meant, I have nothing already written that takes a bunch of .log from nextpnr and extracts / aggregates / display the interesting bits :p17:58
ZirconiumXY'know, I wrote a script to statistically test two builds of *something*17:59
ZirconiumXMaybe I should put it to work17:59
*** rlee287 has joined #yosys18:01
rlee287Is there an explanation in the Yosys manual or elsewhere as to why RTLIL::Process objects cannot always be mapped to Verilog always blocks?18:06
rlee287(Asking because I would like to check if similar limitations exist for VHDL processes)18:06
ZirconiumXrlee287: simply because they're not implemented18:07
ZirconiumXVHDL isn't even *relevant*, because Yosys doesn't speak VHDL18:07
rlee287I'm writing a VHDL backend right now to put it into the GHDL Yosys plugin18:07
rlee287This would inform my decision on how to handle RTLIL Processes in this backend18:07
ZirconiumXwhitequark is probably a good person to ask18:07
whitequarkrlee287: there is no such clear explanation unfortunately18:08
whitequarkand I don't fully understand it myself, but I did investigate this for a small amount of time18:09
whitequark(ideally there wouldn't be such a warning, it would just be a hard error on specifically the kinds of processes that aren't valid)18:09
whitequarkZirconiumX: I think that's not a matter of implementation18:09
whitequarkor well, not just that18:09
rlee287Thanks (which means I will need to run experiments on my own end to see what happens)18:10
whitequarkrlee287: so the `case` part of a process can always be mapped to Verilog because it's just a combinatorial always @* block18:10
whitequarkyou could even say always_comb, since it is illegal to have a latch in that part18:10
whitequarkthe `sync` part is more troublesome though because there are some patterns that I think are not expressible in Verilog18:11
whitequarkit's... somewhat hard to say which precisely, because, strictly speaking, Verilog contradicts itself here18:11
whitequark1164.1 requires you to use some constructs that would always result in a significant sim/synth mismatch18:11
whitequarkso the answer to "is this transformation of an RTLIL::Process to Verilog valid?" is "who the hell knows"18:12
rlee2871164 is referring to the IEEE standard 1164 about "STD_LOGIC" (or the Verilog equivalent of it)?18:12
whitequarkerr18:12
ZirconiumX136418:12
whitequark1364.1, sorry, I typoed18:12
rlee287OK18:13
whitequark1364 describes Verilog (the simulation language), 1364.1 describes Verilog (the synthesis language)18:13
whitequarkit was supposed to be a synthesis subset but it clearly isn't18:13
awygledoes vhdl have a synthesis subset?18:31
awygle(also hi rlee)18:31
rlee287Hi awygle18:34
rlee287Not that I am aware of (I vaguely recall people in the Discord saying that VHDL was slightly more problematic(?) because it did not define a synthesis subset)18:34
rlee287It seems though based on whitequark's remakrs that Verilog's synthesis subset has problems as well18:35
awyglethat is correct if not understated18:36
awygleand SV has no synthesis subset at all18:36
awygle(no formal one that is)18:36
whitequark^18:39
whitequarkneither SV nor VHDL are strictly speaking suitable for synthesis as-is18:40
whitequarkthey're both event-driven simulation languages. at least VHDL has an actually nice and deterministic simulation model18:40
*** jakobwenzel has quit IRC18:58
*** Asuu has joined #yosys18:59
*** Asu has quit IRC18:59
*** kraiskil has joined #yosys20:11
*** Laksen has joined #yosys20:30
*** Laksen has quit IRC20:42
*** BinaryLust has quit IRC20:47
*** cr1901_modern has quit IRC20:47
*** cr1901_modern has joined #yosys20:48
*** Laksen has joined #yosys20:50
*** Laksen has quit IRC21:01
*** Laksen has joined #yosys21:05
*** jfcaron__ has joined #yosys21:26
*** jfcaron has quit IRC21:30
*** kraiskil has quit IRC22:25
*** lambda has quit IRC22:53
*** jfcaron__ has quit IRC23:07
*** jfcaron__ has joined #yosys23:07
*** Asuu has quit IRC23:09
*** lambda has joined #yosys23:13
*** BinaryLust has joined #yosys23:15
*** emeb has quit IRC23:52

Generated by irclog2html.py 2.17.2 by Marius Gedminas - find it at https://mg.pov.lt/irclog2html/!