Tuesday, 2020-05-19

*** tpb has joined #yosys00:00
*** cr1901_modern has joined #yosys00:16
*** oter_ has joined #yosys00:42
*** BinaryLust has joined #yosys00:43
*** citypw has joined #yosys01:59
*** GenTooMan has quit IRC02:19
*** citypw has quit IRC02:59
*** citypw has joined #yosys03:15
*** Degi has quit IRC03:16
*** Degi has joined #yosys03:17
*** GenTooMan has joined #yosys03:59
*** ashfaq1717 has joined #yosys04:27
ashfaq1717hi all04:27
ashfaq1717I'm doing synthesization of Single Cycle RISCV Processor, when I synthesize top module of processor, I have a problem when run 'hierarchy -check' command, I get error this ALU, (ALU instantiation) is not part of the design. This error comes for all instantiations....Can somebody help me, why is it?04:32
*** ashfaq1717 has quit IRC04:37
*** az0re has joined #yosys05:16
*** _whitelogger has quit IRC05:45
*** emeb_mac has joined #yosys05:46
*** _whitelogger has joined #yosys05:47
*** proteusguy has quit IRC06:12
*** dys has joined #yosys06:20
*** proteusguy has joined #yosys06:24
*** dys has quit IRC06:31
*** vidbina has joined #yosys06:52
*** emeb_mac has quit IRC06:52
*** citypw has quit IRC07:04
*** craigo has joined #yosys07:08
*** rjeli has quit IRC07:25
*** rjeli has joined #yosys07:28
*** futarisIRCcloud has quit IRC07:48
*** X-Scale` has joined #yosys08:18
*** X-Scale has quit IRC08:19
*** X-Scale` is now known as X-Scale08:19
*** Asu has joined #yosys08:28
*** X-Scale has quit IRC09:06
*** X-Scale` has joined #yosys09:07
*** gmc has joined #yosys09:07
*** X-Scale` is now known as X-Scale09:08
*** dys has joined #yosys09:15
*** vidbina has quit IRC09:16
*** BinaryLust has quit IRC09:22
*** futarisIRCcloud has joined #yosys09:56
*** citypw has joined #yosys09:58
*** vidbina has joined #yosys10:00
*** mirage335 has quit IRC10:07
*** vidbina has quit IRC11:13
*** craigo has quit IRC11:24
*** kraiskil has joined #yosys11:32
*** vidbina has joined #yosys11:57
ZipCPUashfaq1717: You need to read the verilog files for the rest of the design into Yosys.  Typically, this is done via the "read_verilog" command, although I kind of like using "read -sv filename.v" myself.12:06
ZirconiumXOr pass them on the command line12:11
*** Asuu has joined #yosys12:13
*** Asu has quit IRC12:14
*** mirage335 has joined #yosys12:46
*** _whitelogger has quit IRC13:00
*** _whitelogger has joined #yosys13:02
*** vidbina has quit IRC13:14
*** Asuu has quit IRC13:26
*** Asuu has joined #yosys13:29
*** GenTooMan has quit IRC13:53
*** jfcaron has joined #yosys14:42
*** strongsaxophone has joined #yosys14:49
*** vidbina has joined #yosys15:20
*** citypw has quit IRC15:26
*** tautologico has joined #yosys15:30
*** emeb has joined #yosys16:05
*** dys has quit IRC16:06
*** vidbina has quit IRC16:32
*** strongsaxophone has quit IRC16:38
*** kraiskil has quit IRC16:40
*** kraiskil has joined #yosys16:41
*** strongsaxophone has joined #yosys16:49
*** BinaryLust has joined #yosys18:02
*** jfcaron has quit IRC18:05
*** emeb_mac has joined #yosys18:10
*** jfcaron has joined #yosys18:12
*** jfcaron has quit IRC18:24
*** jfcaron has joined #yosys18:24
*** cr1901_modern has quit IRC19:12
*** cr1901_modern has joined #yosys19:16
*** bzztploink has quit IRC19:34
*** vidbina has joined #yosys19:37
*** bzztploink has joined #yosys19:39
*** vidbina has quit IRC19:55
*** SpaceCoaster has joined #yosys20:31
*** adjtm_ has quit IRC20:43
*** craigo has joined #yosys20:46
*** Asuu has quit IRC21:17
*** rlee287 has joined #yosys21:26
*** GenTooMan has joined #yosys21:53
*** N2TOH has quit IRC22:01
*** kraiskil has quit IRC22:05
*** N2TOH has joined #yosys22:06
*** rlee287 has quit IRC22:19
Forty-Botdoes verilator support +: in declarations?22:41
Forty-Bote.g. something like "wire [0 +: 32] foo;"22:41
Forty-BotI can use that syntax fine in yosys, and it seems to be allowed by the standard22:41
Forty-Botbut verilator gives a syntax error22:41
Forty-Botam I missing some kind of compiler option?22:42
whitequarkForty-Bot: doesn't seem to be allowed per 136422:43
Forty-Botok, so I'm looking at 1364-2005 on page 504-505 and +: is defined as a valid constant_range_expression22:45
whitequarkyes but a type declaration uses a `range`, not a `constant_range_expression`22:46
Forty-Botthen on page 506, a net_lvalue is defined as an identifier plus a constant_range_expression22:46
Forty-Botis that just for assign?22:46
whitequarkyes22:46
whitequarkthat's what an lvalue is: a value on lhs of assignment22:47
Forty-Botah, ok thanks22:47
Forty-Botit's kinda strange that it's not allowed for declarations22:47
*** BinaryLust has quit IRC23:00
whitequarkwhat would that mean for declarations?23:00
Forty-Botthe same thing it means for l-values?23:06
whitequarkoh, i see what you mean23:06
whitequarkor rather why you want it23:07
whitequarkthat seems inconsistent syntactically23:07
Forty-Botyes23:07
whitequarkno, i mean, being able to use :+ seems23:07
whitequarkfrom my point of view, but i also see yours23:07
Forty-Botthe real issue, is if you want to have "one true range-specifier" it has to be :23:08
Forty-Botand : can be rather unweildy for certain expressions23:08
*** jfcaron has quit IRC23:08
whitequarkahhh23:09
whitequarkok, that makes sense23:09
Forty-Botanother example, say I have two variables which are WIDTH wide, and I want to add them23:10
Forty-Botthe result will be WIDTH + 1 wide, but specifying it like "wire [WIDTH:0] sum"... almost looks like a typo23:10
Forty-Botwhereas "wire [0 +: WIDTH + 1] sum" is obviously supposed to be WIDTH + 1 wide23:11
*** adjtm has joined #yosys23:18
*** emeb has quit IRC23:54

Generated by irclog2html.py 2.17.2 by Marius Gedminas - find it at https://mg.pov.lt/irclog2html/!