Tuesday, 2020-05-12

*** tpb has joined #yosys00:00
*** emeb has quit IRC02:03
*** citypw has joined #yosys02:35
*** dh73 has joined #yosys02:39
*** dh73 has quit IRC03:23
*** Degi has quit IRC03:29
*** Degi_ has joined #yosys03:30
*** Degi_ is now known as Degi03:30
*** X-Scale` has joined #yosys03:43
*** X-Scale has quit IRC03:44
*** X-Scale` is now known as X-Scale03:44
*** futarisIRCcloud has joined #yosys04:05
*** X-Scale has quit IRC04:14
*** pacak has quit IRC04:17
*** pacak has joined #yosys04:19
*** BinaryLust has quit IRC04:22
*** az0re has quit IRC04:23
*** BinaryLust has joined #yosys04:27
*** FFY00 has quit IRC04:40
*** BinaryLust has quit IRC04:40
*** FFY00 has joined #yosys04:40
*** dys has quit IRC06:06
*** futarisIRCcloud has quit IRC06:25
*** Asu has joined #yosys06:36
*** az0re has joined #yosys06:36
*** dys has joined #yosys07:08
*** jakobwenzel has joined #yosys07:40
*** corecode has quit IRC07:45
*** kraiskil has joined #yosys08:13
*** corecode has joined #yosys09:56
*** dxld has joined #yosys09:59
*** kraiskil has quit IRC10:44
*** kraiskil has joined #yosys10:58
*** Ekho has quit IRC11:09
*** vidbina has joined #yosys11:13
*** Geza has joined #yosys11:13
*** Ekho has joined #yosys11:21
GezaHi folks, I'm a bit of a newcomer to yosys, so apologies in advance for silly questions. I am trying to use it for some formal equivalence checking [via SymbiYosys] of some basic circuits. I can read in a gold and a gate design, and create a miter circuit, with "miter -equiv". All this works great, but I would like to add a few constraints, for11:26
Gezaexample that the miter trigger only matters when an appropriate reset has been been performed. I can encode all these as assume/assert in a separate module that I can read in. My question is, is there a way to create an instance of this constraints module in the miter module? can use "add" and "connect" to add wires and connect everything up, but I11:26
Gezacan't find a way to programmatically create the constraints instance inside the yosys generated miter module.11:26
GezaAny hits would be appreciated.11:26
*** X-Scale has joined #yosys11:48
ZipCPUGeza: I'm not following the question.  How is it that you are not able to create the constraints?12:05
ZipCPUAre you trying to use concurrent assertions or immediate assertions?12:06
GezaI have some immediate assertions in a module I wrote (well, it's auto generated but it doesn't matter at this point), which I can read in with read_verilog12:07
Gezabut the miter circuit created by 'miter -equiv' is created in-memory by yosys12:08
Gezaso what I was hoping to do is add an instance of the hand written assertions module to the in-memory mite circuit, as in SV bind12:09
Gezamy problem is that I can't find a way to get yosys to do that last part, i was wondering whether it is possible12:11
GezaI guess I could go "write_verilog foo.v; !sed -i .. foo.v; read_verilog foo.v" but my sense of self is protesting that idea :)12:12
ZipCPUWhy not create your own miter circuit?12:17
ZipCPUSV bind isn't supported with the open version of Yosys12:17
GezaI am using this to test a compiler that outputs Verilog, so I have hundreds of examples, I was hoping I would not have to roll my own miter circuit generator, but sound like that will be the way to go, thanks for the help regardless12:21
ZipCPUWhich "compiler" are you using that outputs Verilog?12:21
GezaThis one: https://github.com/ArgonDesign/alogic it's not quite ready for public release though :)12:24
tpbTitle: GitHub - ArgonDesign/alogic: Alogic is a Medium Level Synthesis language for digital logic that compiles swiftly into standard Verilog-2005 for implementation in ASIC or FPGA. (at github.com)12:24
* ZipCPU takes a peek ...12:28
*** futarisIRCcloud has joined #yosys12:28
ZipCPUNeat!12:29
GezaThanks, if you feel bored, you can try www.alogic.app12:30
ZipCPUI think if I were bored, I'd probably start by reading the documentation ... ;)12:30
GezaHaha, that would probably help!12:32
ZipCPUI suppose that's an unfortunate reality of any new project.  The developer sees and is focused on the internal details of how it works, whereas the newcomer is focused instead on the user interface and how its similar or different to other tools they are already familiar with12:33
*** dh73 has joined #yosys12:36
*** BinaryLust has joined #yosys12:41
*** dh73 has quit IRC13:02
*** citypw has quit IRC14:09
*** citypw has joined #yosys14:09
*** vidbina has quit IRC14:20
*** dh73 has joined #yosys14:42
*** jfcaron has joined #yosys14:45
az0reGeza: This is currently not so easy in Yosys.  What I usually do is build my own miter.  But what I have recently done for the `qbfsat` command is to add an `-assume-outputs` option, which makes it easy to make this kind of multi-condition miter by just making multiple outputs.  Creating the logic to test the other constraints, though, like that reset has been high, requires you to manipulate the circuit.  This can either be making your own miter15:46
az0regenerator, or you might implement that inside e.g. the `miter` pass as an option.15:46
az0reDo you have lots of different constraints you might want to add, or they are all the same for all your tests?15:46
*** emeb has joined #yosys15:52
*** jakobwenzel has quit IRC16:03
*** vidbina has joined #yosys16:32
*** ZipCPU has quit IRC16:47
*** citypw has quit IRC17:14
*** cr1901_modern has quit IRC17:18
*** _whitelogger has quit IRC17:21
*** _whitelogger has joined #yosys17:23
*** ZipCPU has joined #yosys17:39
*** cr1901_modern has joined #yosys18:07
*** dh73 has quit IRC18:26
*** kraiskil has quit IRC18:37
*** vidbina has quit IRC18:39
*** vidbina has joined #yosys18:49
*** DaKnig has quit IRC19:08
*** daknig has joined #yosys19:11
*** daknig is now known as DaKnig19:13
*** dh73 has joined #yosys19:16
*** jakobwenzel has joined #yosys20:24
*** vidbina has quit IRC20:39
*** Asuu has joined #yosys20:43
*** Asu has quit IRC20:43
*** jakobwenzel has quit IRC20:49
*** Geza has quit IRC21:18
*** jfcaron has quit IRC22:10
*** Asuu has quit IRC22:14
*** dh73 has quit IRC23:18
*** az0re has quit IRC23:43
*** _whitelogger has quit IRC23:48
*** _whitelogger has joined #yosys23:50
*** az0re has joined #yosys23:57

Generated by irclog2html.py 2.17.2 by Marius Gedminas - find it at https://mg.pov.lt/irclog2html/!