Thursday, 2020-04-23

*** tpb has joined #yosys00:00
*** Vinalon has quit IRC00:06
*** Vinalon has joined #yosys00:07
*** Degi_ has joined #yosys00:07
*** Degi has quit IRC00:11
*** Degi_ is now known as Degi00:11
*** Vinalon has quit IRC00:39
*** Vinalon has joined #yosys00:39
*** npe has quit IRC01:04
*** futarisIRCcloud has quit IRC01:30
*** az0re has joined #yosys01:40
*** citypw has joined #yosys02:18
*** jryans has quit IRC02:43
*** jryans has joined #yosys02:55
*** somlo has joined #yosys03:34
*** yosys-questions has joined #yosys03:35
yosys-questionsmwk : Please have a look. I would like to add a test somewhere, but couldn't quickly figure out. Any guidance appreciated. https://github.com/YosysHQ/yosys/pull/198703:42
tntAnyoen got a clue on https://pastebin.com/ARsviAPH  "ERROR: Found error in internal cell \ice40_spram_gen.$shl$/mnt/venture-data/projects/icebreaker/ice40-playground-git/cores/ice40/rtl/ice40_spram_gen.v:0$829 ($shl) at kernel/rtlil.cc:850"05:10
tpbTitle: ERROR: Found error in internal cell \ice40_spram_gen.$shl$/mnt/venture-data/proj - Pastebin.com (at pastebin.com)05:10
tntThis was building fine with yosys from ~3-4 days ago and doesn't with master.05:10
tntThis is the file in question : https://github.com/smunaut/ice40-playground/blob/usb/cores/ice40/rtl/ice40_spram_gen.v05:11
tpbTitle: ice40-playground/ice40_spram_gen.v at usb · smunaut/ice40-playground · GitHub (at github.com)05:11
*** vidbina_ has joined #yosys05:38
*** emeb_mac has quit IRC06:41
*** craigo has joined #yosys06:53
*** jakobwenzel has joined #yosys07:24
*** futarisIRCcloud has joined #yosys07:32
*** dys has joined #yosys08:13
*** Asu has joined #yosys08:38
*** craigo has quit IRC09:17
*** Vinalon has quit IRC09:22
lambdatnt: which yosys is this exactly?09:33
tntlambda: see https://twitter.com/sirus/status/125303996839970816009:36
tntno ... see https://github.com/YosysHQ/yosys/issues/199009:36
tpbTitle: Dynamic bit write with signed expression fails · Issue #1990 · YosysHQ/yosys · GitHub (at github.com)09:36
lambdatnt: ah, missed that issue, thanks09:37
lambdaat a first glance it looked a lot like the stuff I've been messing around with lately (mod/div)09:38
daveshahNo, it isn't related to that afaics09:40
lambdayeah, none of my stuff is merged yet anyway09:41
*** craigo has joined #yosys10:30
*** jakobwenzel has quit IRC10:55
*** somlo has quit IRC10:55
*** jakobwenzel has joined #yosys10:55
*** somlo has joined #yosys10:56
*** vidbina_ has quit IRC11:11
*** citypw has quit IRC11:22
*** mirage335 has quit IRC11:23
*** citypw has joined #yosys11:25
*** mirage335 has joined #yosys11:33
*** emeb has joined #yosys13:38
*** jfcaron has joined #yosys13:54
*** npe has joined #yosys14:57
*** Vinalon has joined #yosys15:01
*** citypw has quit IRC15:29
*** craigo has quit IRC15:36
*** craigo has joined #yosys15:41
*** cyberclown has joined #yosys15:42
*** cr1901_modern has quit IRC16:00
*** jakobwenzel has quit IRC16:09
*** X-Scale has quit IRC16:15
*** cr1901_modern has joined #yosys16:16
*** [X-Scale] has joined #yosys16:17
*** [X-Scale] is now known as X-Scale16:17
*** vidbina_ has joined #yosys16:35
*** emeb has quit IRC16:46
*** dys has quit IRC17:24
*** emeb has joined #yosys17:51
ZirconiumXCyclone V handbook: "a DSP can do up to 27x27 multiplication"18:42
ZirconiumXQuartus: "you can only have 18-bit wide arguments even in .operation_mode("M27x27")"18:43
ZirconiumX:thinking:18:43
*** vidbina_ has quit IRC18:48
*** craigo has quit IRC19:03
*** vidbina_ has joined #yosys19:47
*** dys has joined #yosys19:50
*** Cerpin has quit IRC20:05
*** Cerpin has joined #yosys21:06
*** jfcaron has quit IRC22:04
*** Asu has quit IRC22:08
*** vidbina_ has quit IRC22:29
*** Vinalon has quit IRC23:42
*** emeb_mac has joined #yosys23:49
*** Cerpin has quit IRC23:52

Generated by irclog2html.py 2.17.2 by Marius Gedminas - find it at https://mg.pov.lt/irclog2html/!