Sunday, 2020-02-16

*** tpb has joined #yosys00:00
*** emeb_mac has joined #yosys00:05
*** show1 has quit IRC02:31
*** citypw has joined #yosys02:59
*** Cerpin has quit IRC03:47
*** Cerpin has joined #yosys03:51
*** voxadam has quit IRC04:17
*** voxadam has joined #yosys04:18
*** peepsalot has quit IRC04:44
*** peepsalot has joined #yosys05:16
*** kmehall_ has quit IRC06:06
*** kmehall has joined #yosys06:06
*** nengel has joined #yosys06:45
*** attie has quit IRC06:49
*** emeb_mac has quit IRC07:00
*** Jybz has joined #yosys08:27
*** MoeIcenowy has quit IRC08:50
*** MoeIcenowy has joined #yosys08:51
*** citypw_ has joined #yosys09:26
*** citypw has quit IRC09:28
*** ovf has quit IRC10:43
*** ovf has joined #yosys10:46
*** show1 has joined #yosys10:50
*** dys has joined #yosys11:05
*** vidbina has joined #yosys11:35
*** vidbina has quit IRC11:51
sensillein yosys i use this: { UNIT_SYSTEM, ARGS_BITS'd0, 1'b0, 1'b1 }, ARG_BITS is a localparam, and yosys does not complain12:27
sensillebut verilator isn't happy with it12:27
sensillewhat would be the verilog way to specify the length of the constant?12:28
ZirconiumX{ARG_BITS{1'b0}}12:30
sensillethat would be a repeat count, right?12:31
ZirconiumXYeah12:31
sensillelet's say i have ARG_BITS'd2512:31
sensillewith ARG_BITS=612:31
ZirconiumXOh dear, this begins to get into the murky parts of the Verilog LRM12:32
sensillethere seem to be a cast, but yosys does not accept it12:32
sensillethat is an array assignment. i could split it into multiple arrays and let the assign do the truncate12:34
sensilleand hope the result is the same12:34
ZirconiumXwhitequark: from above, how can you cast a number to a localparam-specified width?12:36
*** adjtm_ has joined #yosys12:36
ZirconiumX(assuming I'm understanding you correctly, sensille)12:36
sensilleyou do12:37
ZirconiumXI'll have to investigate what Yosys does12:38
ZirconiumXSince I think I know what the cell would be12:38
sensilleor i make everthing just 'wide enough' and let synthesis throw away the unused bits12:38
sensillebut i'd like to avoid warnings where possible12:38
*** adjtm has quit IRC12:39
sensille(i'm still in the early learning stages of verilog)12:39
ZirconiumXHmm12:41
ZirconiumXDoes verilator accept `ARG_BITS'('d25)`?12:42
sensilleyes, but yosys doesn't12:44
*** natanelho has joined #yosys12:56
natanelhohello. I am new to fpga design in general but I know vhdl. I have a 7-series zynq z7020 board, how do I start with programming for it?12:58
*** vidbina has joined #yosys13:01
ZirconiumXnatanelho: Yosys doesn't directly support VHDL at present13:27
ZirconiumXBut you can try ghdlsynth as a front-end13:28
*** natanelho has quit IRC13:35
CerpinAre there still any plans to implement this? https://github.com/YosysHQ/yosys/issues/113414:52
tpbTitle: Synthesis of asymmetric block RAMs · Issue #1134 · YosysHQ/yosys · GitHub (at github.com)14:52
*** vidbina has quit IRC15:05
mwkCerpin: definitely15:10
mwkI'll look into it in a month or two (once I'm done with my current tasks)15:10
CerpinAwesome!15:13
mwkthis is a hard issue unfortunetely, as it ties into a long-overdue full redesign of yosys blockram inference15:14
mwkbut, this is finally on the roadmap15:15
CerpinAhh, right15:15
Sarayanwhat is an integrated logic analyzer actually?  I mean at a concrete level, not a high-level idea?15:16
mwkSarayan: in its simplest variant, a big RAM where you write values of all your monitored signals every cycle starting from your trigger cycle, until you run out of it15:17
mwkwith a secondary port connected to some interface that allows you to read it out at your leisure (eg. JTAG)15:17
Sarayanso that's something hardware only, or it has a software sim side too?15:18
mwkwell there's not much reason to do such a thing in sim15:19
mwkgiven that you already see all your signals in simulation anyway15:19
SarayanI see15:20
mwkit's something that you use when your design works in simulation, but craps itself on real hardware, and you have no idea why15:20
Sarayanhaving that in a generated fpga firmware is very heisenberg though, right?  I probably massively changes the behaviour in the first place?15:21
SarayanIt15:21
mwkit could15:21
Sarayanespecially timings15:21
mwkbut FPGAs are mostly deterministic15:21
Sarayanwhich, from what I understand, is the #1 case of "it's not running in hardware"15:21
mwkunless CDCs are involved15:22
Sarayanah yeah15:22
mwkor unless you don't pass timing, but that is an obvious issue you should fix anyway15:22
mwkbut... there's a different problem15:22
mwkinserting an ILA could very well cause you to no longer pass timing15:22
mwkso yeah, tricky15:23
CerpinThis is a fun discussion considering I work with someone who uses Xilinx's ILA as a debugging tool of first resort :\15:23
mwkalso — another case when you need an ILA is when you're interfacing to some other hardware which you cannot easily simulate15:23
mwklike, no amount of simulation will help you if it turns out that this external chip you talk to behaves differently than you thought15:24
Sarayanyeah indeed15:24
mwk... yes, ILAs can be used as a first-line debugging tool15:24
mwkwhich is a supremely bad idea15:24
mwkbut that's never stopped anyone, now has it15:25
Sarayanso I guess the question I should ask myself is how to make (nmigen+)yosys a nicer environment for debugging before hitting hardware15:25
Sarayanbecause I suspect it could be much better15:25
Sarayanbut that requires smart UI work15:26
Sarayandunno if I'm smart enough :-)15:27
CerpinSupremely bad indeed15:35
*** fsasm has joined #yosys16:02
*** emeb has joined #yosys16:10
ZirconiumXWhy does nextpnr output solely to stderr?16:18
emilyall it outputs is diagnostics, right?16:18
ZirconiumXIf you consider "the program output" to be diagnostics, sure16:18
emilystdout would be for if it was, like, dumping a bitstream on stdout16:20
*** citypw_ has quit IRC16:33
*** dys has quit IRC16:42
*** nengel has quit IRC18:07
*** nengel has joined #yosys18:09
*** nengel has quit IRC19:00
*** nengel has joined #yosys19:02
*** X-Scale` has joined #yosys19:32
*** X-Scale has quit IRC19:33
*** X-Scale` is now known as X-Scale19:33
*** nengel has quit IRC20:10
*** nengel has joined #yosys20:11
*** X-Scale` has joined #yosys20:25
*** X-Scale has quit IRC20:25
*** X-Scale` is now known as X-Scale20:26
*** rohitksingh has quit IRC20:29
*** Jybz has quit IRC20:47
*** emeb_mac has joined #yosys21:06
*** rohitksingh has joined #yosys21:28
*** emeb_mac has quit IRC21:32
*** rohitksingh has quit IRC21:48
*** fsasm has quit IRC22:02
*** rohitksingh has joined #yosys22:31
*** rohitksingh has quit IRC22:59
*** rohitksingh has joined #yosys23:01
*** rohitksingh has quit IRC23:19
*** rohitksingh has joined #yosys23:43

Generated by irclog2html.py 2.13.1 by Marius Gedminas - find it at mg.pov.lt!