Tuesday, 2020-01-28

*** tpb has joined #yosys00:00
*** klotz has quit IRC00:02
*** mwk has quit IRC00:52
*** emeb has quit IRC01:20
*** citypw has joined #yosys02:51
*** rohitksingh has joined #yosys03:00
*** proteusguy has quit IRC03:09
*** develonepi3 has quit IRC03:20
*** rohitksingh has quit IRC04:10
*** Ristovski has quit IRC05:07
*** [Ristovski] has joined #yosys05:11
*** forrestv has quit IRC06:09
*** Jybz has joined #yosys06:15
*** forrestv has joined #yosys06:17
*** Jybz has quit IRC06:31
*** parataxis has joined #yosys06:47
parataxisloading simlib.v is giving me an error: "/share/yosys/simlib.v:1485: ERROR: System task `$stop' outside initial block is unsupported."06:48
parataxisthis is from a symbiyosis script, so it might be that simlib.v doesn't work in formal?06:48
parataxisoh, I should have used ice40/cells_sim.v06:53
parataxisis it normal for calling read_verilog +/ice40/cells_sim.v to use more than 8gb of memory07:07
parataxisit would have used more but that was as far as it got before being OOM killed07:08
parataxisread_verilog -lib +/ice40/cells_sim.v complains about `\SB_SPRAM256KA' (which I am using) being a "blackbox/whitebox module"07:13
daveshahI think you need to do read_verilog -DEQUIV +/ice40/cells_sim.v07:13
daveshahThis is to do with how some memory is implemented07:14
daveshahDefinitely not -lib, or you will only get blackboxes as the error says07:14
parataxis-DEQUIV gives me that same "blackbox/whitebox module" error07:14
daveshahOh yeah, I see, the problem is that the way the SPRAM block is written is not one that Yosys supports - as it is intended for simulation07:17
daveshahRemoving the EQUIV and commenting out this always block might fix it07:17
daveshahhttps://github.com/YosysHQ/yosys/blob/master/techlibs/ice40/cells_sim.v#L113807:17
tpbTitle: yosys/cells_sim.v at master · YosysHQ/yosys · GitHub (at github.com)07:17
parataxisI'm trying to use yosys formal on a module that uses that ram block though07:18
parataxisI guess I'm not using the poweroff pin so it should be fine07:19
parataxismemory blows up again07:23
parataxisif it just needs more memory I can run it on a different machine but I susupect it isn't supposed to07:23
daveshahWhat solver are you using?07:27
parataxissmtbmc07:28
parataxisI don07:31
parataxisI don't think it gets to doing any searching though07:31
parataxisif I comment out the read -formal line the memory usage still blows up07:32
*** X-Scale has joined #yosys07:34
parataxisreducing the size of the register in the sram stub fixes the memory blowup so that is the problem07:56
*** m4ssi has joined #yosys08:14
*** ZipCPU|Laptop has joined #yosys08:32
*** blackbit has left #yosys08:33
*** dh73 has joined #yosys08:40
*** parataxis has quit IRC08:54
*** N2TOH is now known as N2TOH_ZZz09:03
*** dys has joined #yosys09:47
*** dys has quit IRC10:01
*** [Ristovski] is now known as Ristovski10:18
*** cr1901_modern has quit IRC10:24
*** cr1901_modern has joined #yosys10:36
*** mwk has joined #yosys11:03
*** proteusguy has joined #yosys12:03
*** kbeckmann has joined #yosys12:37
*** rohitksingh has joined #yosys12:38
*** dys has joined #yosys12:44
ZirconiumXdaveshah: What does "ABC: Timing manager is given but there is no GIA of boxes." mean?13:29
*** futarisIRCcloud has quit IRC13:29
*** futarisIRCcloud has joined #yosys13:30
daveshahZirconiumX: my guess is that you haven't given it a functional model of the boxes13:30
daveshahIf you don't have one, make sure that the white/black box flag in the box file is set to 013:30
ZirconiumXI do have a model, though :P13:31
daveshahit may still print that even if that is the case, I'm not sure as usually there are models for at least some boxes13:31
daveshahis it marked lib_whitebox ?13:31
ZirconiumXhttps://pastebin.com/pN2zWYrW13:31
tpbTitle: [VeriLog] (* abc9_box_id = 1, lib_whitebox *) module MISTRAL_ALUT_ARITH(input A, B, C, D0 - Pastebin.com (at pastebin.com)13:31
daveshahLooks fine13:32
somloZirconiumX: ironically, bisect tells me commit 151f7533 is to blame (the commit introducing the test case that's bombing out for me :) )13:32
*** FSM_Dude has joined #yosys13:32
ZirconiumXThis is printed by &mfs13:32
dh73https://github.com/berkeley-abc/abc/pull/64/files13:32
tpbTitle: Preserve pAigExtra when cleaning XAIG by eddiehung · Pull Request #64 · berkeley-abc/abc · GitHub (at github.com)13:32
dh73try that one13:32
ZirconiumXIt got merged into master, dh7313:33
ZirconiumXhttps://github.com/berkeley-abc/abc/commit/144c5be8246800d5bd36dc3e177364063e8d2e4013:33
tpbTitle: Preserving additional AIG manager when dandling nodes are present. · berkeley-abc/abc@144c5be · GitHub (at github.com)13:33
ZirconiumXSo it's in the version of ABC I have13:34
FSM_DudeSo I have a verilog design which I read in to Yosys using: read_verilog input.v. This verilog design has some FSM in it, it is recognised and extracted by Yosys during synthesis. Now at the end of synthesis, I write the synthesised design to output.v. If I would then read_verilog output.v, should Yosys again recognise the FSM?13:35
daveshahNot if you've done significant synthesis13:36
daveshahFSM extraction is primarily intended to work with RTL, it also relies on the "RTL-style" cells like $mux rather than arbitrary gates13:36
thastils13:38
FSM_DudeHmm okay, so after using techmap, dfflibmap and abc it's basically not possible anymore13:38
daveshahIndeed13:38
FSM_DudeGreat thanks for the help!13:38
*** Stary has quit IRC14:01
*** ric96 has quit IRC14:05
*** ric96 has joined #yosys14:05
*** Stary has joined #yosys14:10
*** FSM_Dude has quit IRC14:40
*** gromero has joined #yosys14:41
*** vidbina_ has joined #yosys15:10
*** ktemkin has quit IRC15:20
*** ktemkin has joined #yosys15:20
*** futarisIRCcloud has quit IRC15:39
*** ZipCPU|Laptop has quit IRC15:57
*** daveshah has quit IRC16:08
*** daveshah has joined #yosys16:08
*** citypw has quit IRC16:10
*** vidbina_ has quit IRC16:11
*** gruetzkopf has quit IRC16:22
*** gruetzkopf has joined #yosys16:23
*** fsasm has joined #yosys16:24
*** rohitksingh has quit IRC16:25
*** rohitksingh has joined #yosys17:00
*** citypw has joined #yosys17:10
*** m4ssi has quit IRC17:11
*** rohitksingh has quit IRC17:22
*** dys has quit IRC17:28
*** rohitksingh has joined #yosys17:31
somloZirconiumX: thanks again for helping me think through it yesterday. As it turns out, the problem had nothing to do with vendoring abc in yosys, and everything to do with Fedora's (relatively stringent) build-time CFLAGS, which I think uncovered some sort of bounds checking or array overflow bug: https://github.com/YosysHQ/yosys/issues/166317:31
tpbTitle: tests/various/bug1531 fails when building with Fedora build flags · Issue #1663 · YosysHQ/yosys · GitHub (at github.com)17:31
*** citypw has quit IRC17:38
ZirconiumXsomlo: can you send me /usr/lib/rpm/redhat/redhat-hardened-{cc1,ld} ?17:43
sorearmy copy of those files are just forcing PIE and -z now, no array-related hardening17:46
ZirconiumXRight, okay17:47
somloZirconiumX: redhat-hardened-cc1: https://pastebin.com/ff6300aP  ; redhat-hardened-ld: https://pastebin.com/ceksbQAq17:57
tpbTitle: *cc1_options: + %{!r:%{!fpie:%{!fPIE:%{!fpic:%{!fPIC:%{!fno-pic:-fPIE}}}}}} - Pastebin.com (at pastebin.com)17:57
somlodaveshah: nextpnr also needs python 3.9 support: https://pastebin.com/q52CqYnU17:58
tpbTitle: [Diff] diff --git a/CMakeLists.txt b/CMakeLists.txt index 8131d4a..92b5d18 100644 --- - Pastebin.com (at pastebin.com)17:58
somloZirconiumX: sorry, I just realized it's a bunch of rpm specfile gibberish... But, basically, what sorear said :)18:00
ZirconiumXsomlo: So, I just followed the instructions you gave18:00
ZirconiumXAaaand I have a completely *different* error18:00
ZirconiumXhttps://pastebin.com/4P95M1xa18:01
tpbTitle: # +cd tests/realmath && bash run-test.sh "-S 314159265359" cd tests/share && ba - Pastebin.com (at pastebin.com)18:01
ZirconiumXDoes this pass on your machine?18:01
somloif tests/share are *before* tests/various in the top-level makefile, then yes :)18:02
ZirconiumXI mean, that's tests/realmath18:03
somlo`which rename` gives me "/usr/bin/rename", it's apparently a utility to "rename files"...18:03
ZirconiumX...Huh.18:03
somloeither way, I'm fine all the way through tests/various, so anything listed before that in the "test" toplevel makefile target passes for me18:04
somloZirconiumX: interestingly enough, "tests/realmath" is commented out in the "master" version of the toplevel makefile, under the "tests" target18:05
somlobut it's before "various"18:05
somloI'd be curious if you comment out all tests before "various", if it dies for you as well18:06
somloincidentally, "/usr/bin/rename" on my (Fedora) box is part of the "util-linux-2.33.2-..." package18:07
ZirconiumXMmm, so it's actually passing `rename` as a command to Yosys, (like I suspected)18:07
ZirconiumX(tests/realmath/generate.py:69)18:07
somlowhich is why I assume it's commented out in the master branch afaict18:08
ZirconiumX...It's commented out, why is it executing?18:09
somlohuh...18:09
ZirconiumXIn other news I just learned that the testsuite that I went to the trouble of writing never actually gets called in CI. Go me.18:10
ZirconiumXAt least I learned something from this :P18:10
*** fsasm has quit IRC18:11
somloI mean, *LOTS* of stuff flies by during `make test`, and it's all good until it hits "tests/various", and specifically bug1531.ys18:11
somlothat's with the vendored abc, or ABCEXTERNAL, doesn't matter as long as the Fedora CFLAGS are used18:11
ZirconiumXSure enough.18:14
ZirconiumX /usr/include/c++/8/bits/stl_vector.h:932: std::vector<_Tp, _Alloc>::reference std::vector<_Tp, _Alloc>::operator[](std::vector<_Tp, _Alloc>::size_type) [with _Tp = Yosys::RTLIL::State; _Alloc = std::allocator<Yosys::RTLIL::State>; std::vector<_Tp, _Alloc>::reference = Yosys::RTLIL::State&; std::vector<_Tp, _Alloc>::size_type = long unsigned int]: Assertion '__builtin_expect(__n < this->size(), true)' failed.18:14
*** s_frit has joined #yosys18:15
*** rohitksingh has quit IRC18:20
ZirconiumXsomlo: https://github.com/YosysHQ/yosys/blob/master/frontends/ast/simplify.cc#L260718:20
tpbTitle: yosys/simplify.cc at master · YosysHQ/yosys · GitHub (at github.com)18:20
ZirconiumXThis is the crashing line18:20
ZirconiumXif (0) { case AST_BIT_AND:  const_func = RTLIL::const_and;  }18:29
ZirconiumXI'd forgotten about Claire's Device18:29
*** rohitksingh has joined #yosys18:32
somloouch, that's like the *opposite* of "minimal-WTF" :D18:32
*** ZipCPU|Laptop has joined #yosys18:33
somlo"if it was hard to write, it should be hard to understand" :D18:34
ZirconiumXIt's effectively a goto :P18:34
Xiretzatwo gotos, really, since it skips all the following `if (0)` and jumps straight to the end18:36
somloalso: https://www.google.com/search?q=kernighan%27s+law18:36
tpbTitle: kernighan's law - Google Search (at www.google.com)18:36
ZirconiumXsomlo: congrats, you found a bug18:45
somlothanks, and sorry :D18:46
ZirconiumXUnfortunately I don't know the codebase well enough to diagnose much further :P18:46
somlothat's ok, at least I know I'm not crazy, that's not nothing! :)18:46
*** rohitksingh has quit IRC18:57
*** rohitksingh has joined #yosys19:01
*** Jybz has joined #yosys19:23
*** parataxis has joined #yosys19:24
*** zkms has quit IRC19:31
*** zkms has joined #yosys19:32
*** rohitksingh has quit IRC19:48
*** dys has joined #yosys20:12
*** rohitksingh has joined #yosys20:30
*** Jybz has quit IRC21:05
*** rohitksingh has quit IRC21:36
*** cr1901_modern has quit IRC21:38
*** rohitksingh has joined #yosys21:39
*** cr1901_modern has joined #yosys21:42
*** futarisIRCcloud has joined #yosys21:50
*** N2TOH_ZZz has quit IRC22:12
*** N2TOH_ZZz has joined #yosys22:14
*** dh73 has quit IRC22:24
*** N2TOH_ZZz has quit IRC22:25
*** N2TOH_ZZz has joined #yosys22:25
*** rohitksingh has quit IRC22:36
*** rohitksingh has joined #yosys22:37
*** rohitksingh has quit IRC22:45
*** rohitksingh has joined #yosys22:54
*** m_w has joined #yosys23:06

Generated by irclog2html.py 2.13.1 by Marius Gedminas - find it at mg.pov.lt!