Monday, 2019-12-30

*** tpb has joined #yosys00:00
*** allenlorenz has quit IRC00:09
*** allenlorenz has joined #yosys00:10
*** lutsabound has quit IRC03:37
*** Jybz has joined #yosys05:24
*** dh73 has joined #yosys05:27
*** dh73 has quit IRC06:02
promach3Is it possible to simulate and synthesize and generate bitstream from https://github.com/aws/aws-fpga/blob/master/hdk/common/shell_v04261818/design/sh_ddr/sim/sh_ddr.sv ?06:28
tpbTitle: aws-fpga/sh_ddr.sv at master · aws/aws-fpga · GitHub (at github.com)06:28
*** emeb_mac has quit IRC07:03
*** m4ssi has joined #yosys08:08
*** fsasm has joined #yosys08:36
*** dys has joined #yosys09:03
*** kraiskil has joined #yosys09:45
*** tlwoerner has quit IRC09:47
*** tlwoerner has joined #yosys09:48
*** kraiskil has quit IRC09:58
*** Twix has joined #yosys10:03
*** kraiskil has joined #yosys10:20
*** kraiskil has quit IRC10:34
*** vidbina has joined #yosys11:06
*** kraiskil has joined #yosys12:21
*** vidbina has quit IRC13:06
*** kraiskil has quit IRC13:30
*** kraiskil has joined #yosys13:45
*** kraiskil has quit IRC13:51
*** kraiskil has joined #yosys14:23
*** d0nker5 has joined #yosys15:06
*** kraiskil has quit IRC15:15
*** dh73 has joined #yosys15:25
*** vidbina has joined #yosys15:36
*** emeb has joined #yosys15:50
*** rjeli has joined #yosys15:54
rjelihello! got an icebreaker @ ccc yesterday & in love15:55
rjeliy’all are amazing, foss fpga is amazing15:55
OK_b00m3rrjeli: o/15:57
ZipCPUo/16:04
klotzYeah got one as well16:08
klotzHands down my best investment this year16:08
*** fevv8[m] has left #yosys16:56
*** Jybz has quit IRC17:00
*** vidbina has quit IRC17:14
*** vidbina has joined #yosys17:17
*** m4ssi has quit IRC17:36
*** dys has quit IRC18:20
*** rohitksingh has joined #yosys18:51
*** kraiskil has joined #yosys19:06
*** allenlorenz has quit IRC19:28
*** kraiskil has quit IRC19:39
*** parport0 has quit IRC19:50
*** parport0 has joined #yosys19:51
*** rombik_su has joined #yosys20:16
*** meawoppl has joined #yosys20:32
meawopplAlright, I have another strange bug to report20:32
*** dh73 has quit IRC20:33
meawopplrather I don't know if it is a bug or verilog "feature"20:33
meawopplyosys appears to support synthesizing case statements where the labels aren't defined20:33
meawoppl    localparam[3:0]        START = 0,        FRAME_START = 1,        FRAME_END = 2,        IGNORE = 3,        LONGPACKET = 4,        UNKNOWN = 10,        DONE = 15;    reg[3:0] state = START;20:33
meawopplcase(state)20:34
meawoppl    FOO: whatever20:34
meawopplendcase20:34
meawopplcompiles20:34
*** allenlorenz has joined #yosys20:40
daveshahI think this is a Verilog oddity20:47
daveshahcase statements allow signals as labels20:48
daveshahand undefined signals default to a 1 bit wire (without `default_nettype)20:48
*** rohitksingh has quit IRC20:50
*** allenlorenz has quit IRC20:50
daveshahYou should at least get a warning like `Warning: Identifier `\FOO' is implicitly declared.`20:50
*** emeb_mac has joined #yosys20:52
meawopploui, that seems fraught imho, signals as labels seems a little generally hairy, but I can see how it could be used....20:56
meawopplis there any way to have yosys promote warnings to errors?20:57
meawopplMy general experience there is that warnings are more likely than not errors of some variety20:57
meawoppl(but as advertised, I am a verilog n00b)20:57
meawopplAlso I feel like I should send you a bottle of whisky for how helpful you have been daveshah21:01
daveshahYou can do ` -e regex` to turn warnings matching a regex into an error21:02
daveshahIn this case the best option is to add "`default_nettype none" at the top of your file21:03
daveshahThis will prevent any implicit signals from being created21:03
*** X-Scale has quit IRC21:40
*** allenlorenz has joined #yosys21:57
*** devik2 has joined #yosys22:41
devik2ZipCPU, can I ask about your f_past_valid usage ?22:45
ZipCPUSure, what's your question?22:46
devik2what's difference from $initstate ?22:46
ZipCPUProbably just that I've never used $initstate ;)22:46
ZipCPUBut seriously, I'd need to look it up to know22:46
devik2ahh .. I started to learn from your blog and I then found this one and it seems to do the same :-)22:47
devik2btw, I tried to understand how to verify whole simple MCU, I just created extra simple one as one case() - all in one cycle, no pipelining,22:48
ZipCPUOk, go on22:49
devik2then fully pipelined one with bypasses etc..22:49
devik2and proved they have the same output for any input code (coded as $anyconst)22:49
ZipCPUI should point out ... I'm still very much the learner myself.  I've only been doing formal types of stuffs since ... October, 201722:49
devik2it seems to work, I proved it for 20 cycles and any 8 instruction code22:50
ZipCPUAwesome!  How about induction?22:50
devik2not yet :-(22:50
devik2I think, is ever possible to do sequntioal equivalence as induction ?22:51
devik2it seems as too complex task22:51
ZipCPUMight depend upon the core22:52
devik2interestingly, yosys "sat" command is sometimes faster in proving than yosys-smt22:52
ZipCPUSorry, but .... I'm going to need to step away here.  Perhaps we can continue later?22:52
devik2yeah that ok,22:53
devik2I have to ho to sleep, it is 23PM here22:53
*** TheHolyC has joined #yosys22:53
*** dh73 has joined #yosys23:15
*** dh73 has left #yosys23:15
*** TheHolyC has quit IRC23:21
*** TheHolyC has joined #yosys23:22
*** TheHolyC has quit IRC23:53

Generated by irclog2html.py 2.13.1 by Marius Gedminas - find it at mg.pov.lt!