Saturday, 2019-11-30

*** tpb has joined #yosys00:00
*** Ekho has quit IRC00:02
*** nrossi has joined #yosys00:13
*** Ekho has joined #yosys01:17
*** flaviusb has quit IRC01:23
*** kraiskil has quit IRC02:37
*** citypw has joined #yosys05:57
*** emeb_mac has quit IRC06:23
*** _whitelogger has quit IRC06:39
*** _whitelogger has joined #yosys06:41
*** _whitelogger has quit IRC06:54
*** _whitelogger has joined #yosys06:56
*** Jybz has joined #yosys08:32
*** Jybz has quit IRC09:24
*** Marex has quit IRC10:18
*** Ristovski has quit IRC10:18
*** forrestv has quit IRC10:18
*** Ristovski has joined #yosys10:22
*** Marex has joined #yosys10:24
*** forrestv has joined #yosys10:24
*** rohitksingh has quit IRC11:31
ZirconiumXhttps://github.com/YosysHQ/yosys/issues/1531 <-- While this is probably a Yosys bug, this feels like enough of a corner case that it could equally be Verilog.12:05
tpbTitle: Assignment of 0 to for loop variable leads to a 1 in MSB · Issue #1531 · YosysHQ/yosys · GitHub (at github.com)12:05
ZirconiumXOr maybe my Verilog knowledge just sucks12:05
mwkhmm12:10
mwkFWIW i[1:1] evaluates to 1'bx here (in yosys), not 1'b112:11
mwkokay, so the AST looks reasonable before simplification and already has 1'bx after simplification12:21
mwksimplify.cc, 3769 LOC12:21
mwkam I brave enough...12:21
mwkoh heh12:25
mwkokay, I think I see the bug12:25
mwkline 1191: I'm reasonably sure we should be converting the value to the target register's width12:26
*** emeb_mac has joined #yosys15:01
*** pie_ has joined #yosys16:00
*** citypw has quit IRC16:32
*** emeb_mac has quit IRC17:00
*** mirage335 has quit IRC17:10
*** Jybz has joined #yosys17:31
*** mirage335 has joined #yosys17:34
*** Jybz has quit IRC17:51
*** elGamal has quit IRC17:55
*** elGamal has joined #yosys17:57
*** d0nker5 has quit IRC19:06
*** kraiskil has joined #yosys19:11
*** Cerpin has quit IRC19:26
*** Cerpin has joined #yosys19:28
*** nrossi has quit IRC20:25
*** craigo has joined #yosys21:14
*** craigo has quit IRC21:15
*** elGamal has quit IRC21:22
*** elGamal has joined #yosys21:25
*** elGamal has quit IRC22:41
*** elGamal has joined #yosys22:44
*** adjtm_ has quit IRC23:16
*** adjtm has joined #yosys23:20
*** emeb_mac has joined #yosys23:46

Generated by irclog2html.py 2.13.1 by Marius Gedminas - find it at mg.pov.lt!