Friday, 2019-11-15

*** tpb has joined #yosys00:00
*** rohitksingh has quit IRC00:32
*** rohitksingh has joined #yosys00:38
*** Thorn has joined #yosys01:10
*** ktemkin has quit IRC01:11
*** _florent_ has quit IRC01:11
*** _florent_ has joined #yosys01:11
*** ktemkin has joined #yosys01:11
*** tecepe has quit IRC01:12
*** flammit has quit IRC01:12
*** ovf has quit IRC01:12
*** mithro has quit IRC01:12
*** bubble_buster has quit IRC01:12
*** litghost has quit IRC01:12
*** tecepe has joined #yosys01:12
*** marex-cloud has quit IRC01:13
*** benreynwar has quit IRC01:13
*** flammit has joined #yosys01:13
*** bubble_buster has joined #yosys01:13
*** litghost has joined #yosys01:13
*** benreynwar has joined #yosys01:14
*** mithro has joined #yosys01:14
*** ovf has joined #yosys01:15
*** marex-cloud has joined #yosys02:01
*** pie_ has quit IRC02:07
*** citypw has joined #yosys02:28
*** rohitksingh has quit IRC02:35
*** rohitksingh has joined #yosys02:35
*** kraiskil has joined #yosys03:37
*** rohitksingh has quit IRC03:49
*** rohitksingh has joined #yosys03:53
*** flaviusb has joined #yosys05:11
*** nrossi has joined #yosys05:15
*** _whitelogger has quit IRC06:03
*** _whitelogger has joined #yosys06:05
*** _whitelogger has quit IRC06:15
*** _whitelogger has joined #yosys06:17
*** kraiskil has quit IRC06:18
*** adjtm has joined #yosys06:30
*** rektide_ has joined #yosys06:33
*** ravenexp has quit IRC06:38
*** adjtm_ has quit IRC06:38
*** Twix has quit IRC06:38
*** tlwoerner has quit IRC06:38
*** rektide has quit IRC06:38
*** nurelin has quit IRC06:38
*** Nazara has quit IRC06:38
*** Marex has quit IRC06:38
*** Ristovski has quit IRC06:38
*** forrestv has quit IRC06:38
*** Marex has joined #yosys06:43
*** Ristovski has joined #yosys06:43
*** forrestv has joined #yosys06:43
*** ravenexp has joined #yosys06:49
*** Twix has joined #yosys06:49
*** nurelin has joined #yosys06:49
*** Nazara has joined #yosys06:49
*** emeb_mac has quit IRC07:01
*** ravenexp has quit IRC07:15
*** Twix has quit IRC07:15
*** nurelin has quit IRC07:15
*** Nazara has quit IRC07:15
*** ravenexp has joined #yosys07:17
*** Twix has joined #yosys07:17
*** nurelin has joined #yosys07:17
*** Nazara has joined #yosys07:17
*** voxadam has quit IRC07:38
*** rohitksingh_ has joined #yosys07:39
*** rohitksingh has quit IRC07:40
*** voxadam has joined #yosys07:51
*** togo has joined #yosys08:28
*** kraiskil has joined #yosys08:28
*** dys has joined #yosys08:35
pepijndevosWhy is there a $PACKER_VCC and $PACKER_GND in my Nextpnr generic output? They got mapped to a slice with a LUT INIT of 1 and 0, as integers, while all other LUT have binary strings as init.09:08
daveshahThey are for driving 0 and 1 as  needed10:00
*** captain_morgan20 has quit IRC10:13
*** captain_morgan20 has joined #yosys10:14
pepijndevosdaveshah, right, but on Gowin ther is just a global VCC and VSS net, can I express that somehow?10:52
daveshahYou could modify the packer to create a single cell with two pins instead, one for the Vcc net and one for the Vss net10:53
daveshahand use that instead of the LUTs10:53
*** rohitksingh_ has quit IRC11:44
*** pie_ has joined #yosys14:56
*** emeb has joined #yosys14:56
*** kuldeep has joined #yosys15:02
*** promach3 has joined #yosys15:23
*** fevv8[m] has joined #yosys15:23
*** pepijndevos[m] has joined #yosys15:23
*** FabM has quit IRC16:34
*** citypw has quit IRC16:38
*** kraiskil has quit IRC17:03
*** fsasm has joined #yosys17:10
*** dys has quit IRC17:12
pepijndevosomg, I can make a Gowin bitstream! I just need to add constraints. Does the generic target have a way to do that? I can probably find this...17:26
*** dys has joined #yosys17:34
daveshahpepijndevos: you can either manually instantiate IO buffers and set the BEL attribute on them; or hack something in Python to set the BEL attribute on cells17:35
daveshahI would recommend the former17:36
pepijndevosHm okay, but how does that interact with reading a netlist?17:37
daveshahEffectively, don't have any top level ports in your netlist17:37
daveshahJust IO buffer cells instantiated with the BEL=... and keep=1 attributes set17:37
daveshahI have an example somewhere, let me dig it out17:38
daveshahThis is for Xilinx but same principle applies elsewhere17:39
daveshahhttps://www.irccloud.com/pastebin/zNZXuyyv/17:39
tpbTitle: Snippet | IRCCloud (at www.irccloud.com)17:39
daveshah(you would need blackbox definitions for the IO buffer primitives too)17:39
pepijndevosOh! On the verilog side. Interesting.17:40
pepijndevosNice, thanks17:40
*** Jybz has joined #yosys18:27
janrinzewill 'autoname' add more comprehension for ecp5 too?18:35
janrinzei see it's added for synt_ice40 but it could easily be added to synth_ecp5 too, right?18:36
janrinzei just answered my own question. Added the run("autoname"); to the if (check_label("check")) and apparently it works okay.20:10
janrinzeAlso give a lot more sensible info about the critical path now. Nice.20:11
*** Jybz has quit IRC20:14
*** Jybz has joined #yosys20:27
*** togo has quit IRC20:38
*** emeb_mac has joined #yosys20:54
daveshahjanrinze: yeah20:55
daveshahI'll apply that now20:56
*** nrossi has quit IRC21:02
daveshahjanrinze: done21:03
*** rohitksingh has joined #yosys21:12
*** fsasm has quit IRC21:16
*** gmc has joined #yosys21:24
*** gmc has quit IRC21:26
*** gmc has joined #yosys21:28
janrinzedaveshah: can we improve the memory implementation? somehow it's near impossible to have a large dual port memory inferred. I have a solution that works with manually setting up lots of  DP16KD but initializing that with a file is quite a challenge.21:55
daveshahIt needs a total rewrite and that's a big project21:56
janrinzehmm.. it does?21:56
janrinzeWhat if we do things incrementally?21:57
ZirconiumXdaveshah: it's the memory_bram pass, right?21:58
daveshahYeah, don't even look at the code21:58
daveshahIt has been the source of several horrible synthesis bugs too21:59
janrinzeIt is possible to have MemoryA correctly inferrred with DP16KD.22:00
janrinzeThus we can inferr two seperate memory blocks with DP16KD. which are unrelated.22:00
janrinzenow if we use the access ports of the second and tie them to the first, discarding the second blocks, we should have a working DPRAM22:01
janrinzeright?22:01
janrinzeOr does the default implementation use both ports?22:02
janrinzeif the address for reading and writing of memA uses the same wires we can guarantee that only one port is sufficient.22:04
janrinzethus implying that if the same is true for memB we can safely assume that each can be mapped to a single port of the DP16KD respectively.22:05
janrinzeRight?22:05
daveshahThis is all technically correct22:07
daveshahBut memory_bram is a hacky enough pass already22:08
janrinzeI can feel a big "But..." coming..22:08
daveshahAnd personally I'm not interested in adding any more hackyness or time wasting missynthesis bugs to a pass that really needs reconsideration22:08
janrinzemakes sense.22:08
daveshahParticularly given there are several other missing features like async bram, a better transparency model and resets22:09
daveshahBetter handling of transparent ports, in particular, is needed for support for common dpram patterns22:09
janrinzeOkay, understood.22:09
janrinzeSince i already have a module written to use DP16KD directly, I would like to find a way to set it up using initial $readmemh("rom_memory.hex",memory);22:11
janrinzebecause I am pretty sure that this readmemh wont work on a module. :-D22:11
*** X-Scale has quit IRC22:37
*** X-Scale` has joined #yosys22:39
*** Jybz has quit IRC22:51
*** dys has quit IRC23:08
*** emeb has quit IRC23:53

Generated by irclog2html.py 2.13.1 by Marius Gedminas - find it at mg.pov.lt!