Thursday, 2019-11-07

*** tpb has joined #yosys00:00
*** kraiskil has joined #yosys00:45
*** rohitksingh has joined #yosys00:56
*** dys has quit IRC01:23
*** emeb_mac has quit IRC03:09
*** emeb_mac has joined #yosys03:10
*** PyroPeter has quit IRC04:00
*** PyroPeter has joined #yosys04:14
*** Jybz has joined #yosys05:30
*** futarisIRCcloud has quit IRC06:10
*** Jybz has quit IRC06:36
*** futarisIRCcloud has joined #yosys06:37
*** emeb_mac has quit IRC06:39
*** _whitelogger has quit IRC07:12
*** _whitelogger has joined #yosys07:14
*** kuldeep has quit IRC07:22
*** FabM has joined #yosys08:06
*** FabM has quit IRC08:07
*** FabM has joined #yosys08:11
*** FabM has joined #yosys08:13
pepijndevosYuk, ifs without brackets. Does Nextpnr have a code style guide?08:49
whitequarkhttps://github.com/YosysHQ/nextpnr/blob/master/.clang-format08:51
tpbTitle: nextpnr/.clang-format at master · YosysHQ/nextpnr · GitHub (at github.com)08:51
pepijndevosoh wowie, I can tell llvm to yell at me and reformat stuff, right?08:52
pepijndevoshttps://github.com/YosysHQ/nextpnr/blob/master/CMakeLists.txt#L29608:53
tpbTitle: nextpnr/CMakeLists.txt at master · YosysHQ/nextpnr · GitHub (at github.com)08:53
pepijndevosyes08:53
whitequarkclang but yes (it's a different project)08:53
pepijndevosneat08:55
daveshahAlso have a look at https://github.com/YosysHQ/nextpnr/blob/master/docs/coding.md which is some higher level tips08:58
tpbTitle: nextpnr/coding.md at master · YosysHQ/nextpnr · GitHub (at github.com)08:58
pepijndevosIt didn't remove brackets from my single-line ifs though, so I suppose both are fine.08:58
pepijndevosOh nice, I had seen most of the "see also" ones, but not this one yet.08:59
*** rohitksingh has quit IRC09:01
daveshahCheck https://github.com/YosysHQ/nextpnr/blob/master/docs/netlist.md if you haven't seen it too09:02
tpbTitle: nextpnr/netlist.md at master · YosysHQ/nextpnr · GitHub (at github.com)09:02
*** kraiskil has quit IRC09:14
pepijndevosWhat is the intention of the generic target? Seems very tempting for a PoC to just hack a few dozen lines of Python rather than thousands of lines of C. But maybe it has major limitations in adition to the mentioned Windows linking issues?09:26
pepijndevosOf course eventually a nice C target is desirable, but at this point it's more of a proof of concept, with all the timing info and advanced knowledge still missing, so it'd be not far off from the simple.py example, and be mostly rewritten eventually anyway.09:29
daveshahThe two limitations are performance (running the Python every time at startup might take 10-20s compared to 10ms to a bba linked into the elf)09:37
daveshahand the lack of custom validity checking/packing/etc09:37
daveshahthe latter needed for useful clock enable, set/reset, advanced IO, etc09:38
mwka lot of packing could be done as yosys passes though09:46
daveshahYeah, this is true09:47
pepijndevoshttps://github.com/YosysHQ/nextpnr/blob/master/docs/generic.md also mentions a packer could be implemented in Python09:47
tpbTitle: nextpnr/generic.md at master · YosysHQ/nextpnr · GitHub (at github.com)09:47
daveshahYeah, it's mostly performance that's the issue09:48
daveshahThe main part of packing that's a bit annoying to do in Yosys is clocking/IO stuff that requires some understanding of the physical layout09:50
daveshahstuff like what banks IO are in changing the IOLOGIC primitive used; merging/constraining DQS groups; etcc09:50
pepijndevosYea, once I get to those kind of things it'll *definitely* be a C thing.09:51
pepijndevosBut for now all I have is basically LUT, DFF([SR]E?), and basic, basic, IOB. So compared to the simple.py I only need to add more wires, and figure out the stuff about packing and constraint to some extend.09:53
pepijndevosBut for now, even if set/reset/enable is not supported, fine with me.09:53
pepijndevosI guess the main thing is that in Gowin, a tiles has 4 slices of two DFF with a shared clock and set/reset, so I need to find out how to add that.09:54
pepijndevosBut honestly, if the pnr for now assumes the whole tile shares clock and reset, that's probably good enough fow now.09:54
daveshahThe generic arch comes with a toy packer that just combines FFs and LUTs into a LUTFF primitive, assuming a shared clock and no set/reset09:55
daveshahJust creating 8 of those per tile for the generic arch would be a very good start09:55
daveshahthen you don't really have to do any packing or legality checking of your own at all09:56
daveshahThere is also another script that is an example of a fasm backend for generic, if you wanted to use that as your bitstream IR09:58
pepijndevos... within which scope does it assume a shared clock?09:59
daveshahIt allows one clock per tile10:00
daveshahGiven this is really intended for a proof of concept, it's most likely you'll only have one clock per design anyway....10:00
pepijndevosRight10:00
pepijndevosI'm thinking if I can do this in a way that the bitstream packer doesn't depend on names in the generic target.10:07
*** kraiskil has joined #yosys11:02
*** kraiskil has quit IRC11:54
*** kraiskil has joined #yosys12:06
*** anuejn has joined #yosys12:13
*** X-Scale` has joined #yosys12:18
*** X-Scale has quit IRC12:20
*** X-Scale` is now known as X-Scale12:20
*** blackbit has joined #yosys13:19
*** cr1901_modern has quit IRC13:21
blackbithi all. i read about this GW1N-1 FPGA recently. is there any leverage to support it in yosys and make something comparible to icestorm?13:22
*** cr1901_modern has joined #yosys13:24
pepijndevosblackbit, I'm working on it :)13:35
blackbitnice13:35
blackbityou already have such a board?13:36
pepijndevosI have a Tang Nano with an GW1N-113:36
blackbiti am ordering two of them right now, though the seeed website is unbelievably slow right now13:37
pepijndevosblackbit, current status of Gowin support is that the Yosys side is fairly decent. Nextpnr not so much. I'm working towards some PoC flow now that can just barely route LUTs and DFF without any special options or hard IP blocks (bram, pll, etc)13:44
pepijndevosI tweet progress updates some times.13:45
pepijndevosMeanwhile working on making a wireless display with one of these ASK breakout boards that talks with Virtualwire/Radiohead: https://github.com/pepijndevos/vhdlwire/13:46
tpbTitle: GitHub - pepijndevos/vhdlwire: A VHDL implementation of VirtualWire/RadioHead (at github.com)13:46
blackbitnice13:48
blackbittwitter handle?13:48
pepijndevospepijndevos13:48
pepijndevosYosys PR with some extra stuff: https://github.com/YosysHQ/yosys/pull/144913:50
tpbTitle: Improvements for gowin support by pepijndevos · Pull Request #1449 · YosysHQ/yosys · GitHub (at github.com)13:50
blackbitthanks13:51
*** kraiskil has quit IRC14:07
*** citypw has joined #yosys14:11
*** dys has joined #yosys14:24
*** citypw has quit IRC14:43
*** emeb_mac has joined #yosys14:47
*** emeb_mac has quit IRC15:00
*** kraiskil has joined #yosys15:14
*** m4ssi has joined #yosys15:22
*** craigo has joined #yosys15:27
pepijndevosHow does one use bugpoint? With the default options it seems to delete the toplevel module and then say all simplifications are exhausted.15:49
pepijndevosWhat I'm currently doing is read_velilog on a design, and then bugpoint -script with something that does an equivalence check on synthesis15:50
*** Thorn__ has joined #yosys16:09
*** cr1901_modern has quit IRC16:09
*** Thorn has quit IRC16:09
*** Thorn__ is now known as Thorn16:12
*** vidbina_ has joined #yosys16:25
*** X-Scale has quit IRC16:31
*** X-Scale` has joined #yosys16:31
*** X-Scale` is now known as X-Scale16:31
*** m4ssi has quit IRC16:38
*** kraiskil has quit IRC16:57
*** vidbina_ has quit IRC17:18
ZirconiumXpepijndevos: are you triggering something17:48
ZirconiumXIf you are, then you should use `-grep`17:50
*** cr1901_modern has joined #yosys17:56
*** blackbit has left #yosys18:13
*** Laksen has joined #yosys18:56
*** emeb_mac has joined #yosys19:19
*** Jybz has joined #yosys19:22
*** rohitksingh has joined #yosys19:27
*** emeb has joined #yosys20:30
*** rohitksingh has quit IRC21:18
*** Jybz has quit IRC22:00
*** Laksen has quit IRC22:12
*** rohitksingh has joined #yosys22:20
ZirconiumXSo, I'm trying to implement the yosys test/arch/common tests, and proc_dff bails out with "ERROR: Multiple edge sensitive events found for this signal!"22:25
ZirconiumXI'm probably doing something wrong, but what?22:25
*** rohitksingh has quit IRC23:38
*** rohitksingh has joined #yosys23:40
*** rohitksingh has quit IRC23:41
*** emeb has quit IRC23:48
*** rohitksingh has joined #yosys23:49

Generated by irclog2html.py 2.13.1 by Marius Gedminas - find it at mg.pov.lt!