Thursday, 2019-09-12

*** tpb has joined #yosys02:03
*** PyroPeter has quit IRC02:13
*** PyroPeter has joined #yosys02:26
*** rohitksingh has joined #yosys02:31
*** parport0 has quit IRC03:34
*** parport0 has joined #yosys03:35
*** rohitksingh has quit IRC03:35
*** rohitksingh has joined #yosys03:47
*** _whitelogger has quit IRC04:27
*** _whitelogger has joined #yosys04:29
*** _whitelogger has quit IRC04:45
*** _whitelogger has joined #yosys04:47
*** s_frit has quit IRC05:29
*** s_frit has joined #yosys05:30
*** rohitksingh has quit IRC05:34
*** rohitksingh has joined #yosys05:53
*** proteusguy has joined #yosys06:27
*** rohitksingh has quit IRC06:36
*** dys has quit IRC06:43
*** emeb_mac has quit IRC07:06
*** dys has joined #yosys07:09
*** Cerpin has quit IRC07:32
*** Cerpin has joined #yosys07:34
*** cr1901_modern has quit IRC07:42
*** cr1901_modern has joined #yosys08:10
*** mkru has joined #yosys08:36
mkruIs yosys somehow connected with Verific company or it is just coincidence, that in script we can use verific keyword?08:37
*** awordnot has quit IRC08:40
tntmkru: There is a commercial version of yosys that integrates with verific.08:41
emilyhm, is the version of yosys itself commercial? I thought the Verific code was all open in the repositories08:44
emilyas in, the Verific-integrating code08:44
daveshahI believe there are some patches to Verific that are not open source08:44
daveshahAnd also a license manager which is not open source08:45
daveshahMost of the glue is in the open source Yosys though08:45
mkrutnt: Do you know what are the prons of commercial version?08:48
mkrupros*08:49
*** awordnot has joined #yosys08:51
tntmkru: I never used it. But among them are System Verilog support, the dot notation for internal signals and VHDL support.08:55
tnt(I know those in particular because they're stuff I'd like to use but are not avail :p)08:55
mkruOk, I am a bit confused. I thought that verific is used under the read command?09:05
daveshahThe read command uses Verific if available or the FOSS frontend otherwise09:07
mkruSo how much of this https://symbiyosys.readthedocs.io/en/latest/verific.html is available without buying any license?09:30
tpbTitle: SystemVerilog, VHDL, SVA SymbiYosys 0.1 documentation (at symbiyosys.readthedocs.io)09:30
emilyI think you can do some SystemVerilog stuff with just the free software toolchain, though I'm not 100% certain on that.09:42
*** citypw has joined #yosys09:52
mkruThe more I read, the more I get a feeling that SymbiYosys is just a marketing product, not an open source tool. To use it in a big, complex projects you still need to buy licenses.09:52
emilyI think there's the intention to improve SystemVerilog support in the all-Free toolchain, it just needs someone to actually put in the work10:22
emilyrebuilding entire commercial toolchains that have had decades to develop and mature from the ground up isn't easy10:23
mkruemily: Does it require improvements in frontend, backend or both? Do you know?10:32
emilythe frontend would definitely need work; I've heard talk about adding more common SV features being blocked on some parser troubles or something? But I'm really not the person to ask, I'm still an amateur and haven't used SV at all yet ^^;10:33
emilythere's also ##openfpga btw, where azonenberg is talking about adding SystemVerilog features to yosys right now in fact10:33
*** proteusguy has quit IRC10:35
ZipCPUmkru: @(posedge clk) and @(negedge clk) are both supported by FOSS yosys .... ;)10:49
tntlol10:51
tntnegedge should definitely be a premium feature, who needs that ...10:51
ZipCPUmkru: I've been through the parser a couple times.  It works, but there's discussion that it could use a rewrite.  To my knowledge, no one is working on that10:51
ZipCPUI'm not sure if the native parser would be up to SystemVerilog10:52
ZipCPUThat said, I've looked over many of the missing features and there's been more than once when I seriously thought of adding them in10:52
ZipCPUFor example, $onehot and $onehot0 would be valuable to me, and not all that hard to add in10:52
mkruI would like to contribute but C++ is a big issue for me.10:52
mkruI wish I could use Rust.10:52
ZipCPUI'd also like to be able to do simple single-clock SVA assertions: assert property (@(posedge i_clk) disable iff (A) B |=> C);  Those shouldn't be so hard, but been busy enough with other projects that I haven't dug into that10:54
ZipCPUIIRC, Clifford committed to implementing the dot notation, and if you look hard enough you'll find some support for it10:55
*** Kergadon has quit IRC10:55
ZipCPUOne of the issues was associated with finding the width and the type of the sub-module value10:55
ZipCPUThe bottom line, however, is that all this work costs money and ... unless someone ponies up to pay for it, the paying customers will get all the support10:56
ZipCPUI know there was one customer who was going to fund a full SystemVerilog back end, but then dropped out before the agreement could be finalized10:57
ZipCPUI also know there are several folks working on integrating various VHDL back ends ... those might become useful soon enough10:57
ZipCPUSorry, VHDL  front ends, not back ends10:58
ZipCPUThe biggest problem I've heard from the VHDL front ends is that simple/basic support is easy to do, but no one has invested the time to get full feature support10:58
mkruActually, what is front end responsible for and what is back end responsible for? Verilog has both.11:02
daveshahThe front end reads and elaborates Verilog into Yosys' internal representation (RTLIL), the backend writes that out as Verilog11:03
daveshahOnly the front end would need significant work for SystemVerilog (for the most part SV features would be lost during elaboration anyway, so there would be no changes to what the backend needs to write)11:04
hackerfooThere is effort on open source SystemVerilog support, but it will take time.11:07
mkruAny project that look promising?11:08
hackerfoohttps://github.com/zachjs/sv2v11:09
tpbTitle: GitHub - zachjs/sv2v: SystemVerilog to Verilog conversion (at github.com)11:09
*** proteusguy has joined #yosys11:10
hackerfooIf anyone wants to contribute, let us know on #symbiflow. We could use some help :)11:14
mkruAre you the owner?11:16
hackerfoomithro is the lead. I've been working on the project since April.11:18
hackerfoo(SumbiFlow, not sv2v)11:19
hackerfoo*SymbiFlow11:20
mkruWhat do you focus your work right now?11:23
mkruon*11:23
hackerfooI'm working on Xilinx 7 series support.11:29
hackerfooWe're working towards Linux on a SoC on an Artix 7.11:34
mkruSounds nice. Do you have any topics, that sounds like potential PhD thesis?11:36
hackerfoomkru: I'm sure I could help you find one. We're using Verilog to Routing (https://verilogtorouting.org/), which is used in academic research.11:41
*** adjtm has quit IRC13:15
*** ZipCPU has quit IRC13:45
*** ZipCPU has joined #yosys13:46
*** adjtm has joined #yosys13:54
*** rohitksingh has joined #yosys14:21
*** emeb has joined #yosys14:52
*** rohitksingh has quit IRC14:57
*** rohitksingh has joined #yosys15:08
*** chipb has quit IRC15:13
*** adjtm has quit IRC15:14
*** chipb has joined #yosys15:15
*** adjtm has joined #yosys15:15
*** dys has quit IRC15:16
*** adjtm has quit IRC15:16
*** cr1901_modern has quit IRC15:19
*** adjtm has joined #yosys15:22
*** dys has joined #yosys15:23
*** rohitksingh has quit IRC15:29
*** cr1901_modern has joined #yosys15:32
*** dys has quit IRC15:38
*** dys has joined #yosys15:38
*** dys has quit IRC15:42
*** proteusguy has quit IRC15:47
*** rohitksingh has joined #yosys15:51
*** rohitksingh has quit IRC15:57
mithromkru: plenty of potential PhD thesis projects16:03
mithromkru: if your interested, happy to work with you to find something which matches your and your unis interests16:04
*** dys has joined #yosys16:15
*** rohitksingh has joined #yosys16:18
*** dys has quit IRC16:22
*** phire has quit IRC16:25
*** forksand has joined #yosys16:27
*** proteusguy has joined #yosys16:30
*** mkru has quit IRC16:36
*** MrBismuth has joined #yosys16:57
*** MrBusiness3 has quit IRC17:00
*** rohitksingh has quit IRC17:00
*** mkru has joined #yosys17:05
*** rohitksingh has joined #yosys17:32
*** shorne has quit IRC17:46
*** shorne has joined #yosys17:48
*** mkru has quit IRC18:06
*** mkru has joined #yosys18:06
*** adjtm has quit IRC18:25
*** rohitksingh has quit IRC18:50
*** ZipCPU is now known as ZipCPU|AFK18:52
*** adjtm has joined #yosys18:53
*** mkru has quit IRC18:57
*** rohitksingh has joined #yosys19:01
*** rohitksingh has quit IRC19:20
*** pie_ has quit IRC19:34
*** rohitksingh has joined #yosys20:17
*** rohitksingh has quit IRC20:24
*** rohitksingh has joined #yosys20:35
*** emeb_mac has joined #yosys20:41
*** rohitksingh has quit IRC21:03
*** pie_ has joined #yosys21:04
*** rohitksingh has joined #yosys21:46
*** rohitksingh has quit IRC22:02
*** rohitksingh has joined #yosys22:04
*** s_frit has quit IRC22:23
*** s_frit has joined #yosys22:24
*** phire has joined #yosys22:27

Generated by irclog2html.py 2.13.1 by Marius Gedminas - find it at mg.pov.lt!