Sunday, 2019-04-07

*** tpb has joined #yosys00:00
*** emeb_mac has quit IRC00:19
*** togo has quit IRC00:24
*** gruetzkopf has quit IRC01:02
*** gruetzkopf has joined #yosys01:08
*** _whitelogger has quit IRC01:43
*** _whitelogger has joined #yosys01:46
*** proteusguy has quit IRC02:01
*** emeb_mac has joined #yosys02:51
*** PyroPeter has quit IRC02:51
*** _whitelogger has quit IRC03:01
*** futarisIRCcloud has quit IRC03:02
*** _whitelogger has joined #yosys03:04
*** PyroPeter has joined #yosys03:04
*** _whitelogger has quit IRC03:25
*** _whitelogger has joined #yosys03:28
*** gsi__ has joined #yosys03:30
*** gsi_ has quit IRC03:33
*** _whitelogger has quit IRC03:49
*** _whitelogger has joined #yosys03:52
*** _whitelogger has quit IRC04:31
*** _whitelogger has joined #yosys04:34
*** _whitelogger has quit IRC04:37
*** _whitelogger has joined #yosys04:40
*** proteusguy has joined #yosys05:35
*** rohitksingh has joined #yosys06:15
*** rohitksingh has quit IRC07:05
*** rohitksingh has joined #yosys07:09
*** futarisIRCcloud has joined #yosys07:21
*** emeb_mac has quit IRC07:21
*** gsi__ is now known as gsi_07:47
*** _whitelogger has quit IRC08:01
*** _whitelogger has joined #yosys08:04
janrinzeZipCPU: ah, right. we do have a life outside the matrix don't we ;-)09:21
daveshahYou can't escape the matrix09:22
*** m4ssi has joined #yosys09:35
janrinze:D09:37
janrinzedaveshah: I just noticed some commits in ABC that may be of interest to Yosys. Specificaly something got reverted. Not sure how much the impact is but i wonder what Clifford's policy is on keeping up to date with ABC.09:38
daveshahjanrinze: good spot09:39
daveshahIn general things are kept relatively up to date09:39
daveshahIn this case it seems to be related to the word-level stuff in ABC, which Yosys doesn't use afail09:39
daveshah*afaik09:39
janrinzeOkay, currently Yosys is at ABC 2ddc57d. I'm building with latest commit now and will run a few tests. Probably no difference like you said.09:42
*** futarisIRCcloud has quit IRC09:50
janrinzeforgot to add -j8 .. ah well, it will finish sometime soon.09:50
janrinzeNice, the json produced is identical. So no impact at all.10:03
janrinzedaveshah: did we get any examples for SB_I2C and SB_SPI? I would like to try them as a substitute but try to avoid long debug sessions :D10:08
janrinzedaveshah: also, I probably did not google enough but is there a symbol defined to identify the FPGA we are building for? Using `ifdef to make some blocks conditional to the type of FPGA would allow me to consolidate my sources.10:14
*** futarisIRCcloud has joined #yosys10:20
tntjanrinze: I don't have any 'minimal example', but I have code on github using sb_spi ...10:28
janrinzetnt: i'd like to take a peek at that10:29
tnthttps://github.com/smunaut/ice40-playground/blob/master/projects/riscv_usb/rtl/top.v#L26610:29
tpbTitle: ice40-playground/top.v at master · smunaut/ice40-playground · GitHub (at github.com)10:29
tnthttps://github.com/smunaut/ice40-playground/blob/master/projects/riscv_usb/fw/boot.S10:30
tpbTitle: ice40-playground/boot.S at master · smunaut/ice40-playground · GitHub (at github.com)10:30
tntBasically it's a riscv (picorv32) with a small RAM4K boot zone that's initialized and loads the main app from flash into the larger SPRAM memory (because you can't initialize SPRAM).10:31
janrinzetnt: I see you did the bidirectional pin construct same as i have. There was some talk about getting that automatically supported.10:31
tntI never trust auto-magic IO for anything else than pure input/pure output with no register. anything else I do myself.10:34
*** rohitksingh has quit IRC10:34
*** rohitksingh has joined #yosys11:15
*** _whitelogger has quit IRC11:19
*** _whitelogger has joined #yosys11:22
*** rohitksingh has quit IRC11:26
janrinzetnt: have you had any luck at adding a SB_PLL to the output of SB_HFOSC? SB_PLL_CORE throws ERROR: PLL 'pllout.uut' couldn't be placed anywhere, no suitable BEL found. and SB_PLL_PAD obviously does not apply since it's not a pad.11:47
*** maikmerten has joined #yosys11:50
tntjanrinze: I have never tried tbh ...11:51
tntjanrinze: you can try to switch the HFOSC output to be FABRIC rather than global, that might help.11:51
tntjanrinze: do you have a ready made test case ?11:51
janrinzetnt: not yet12:05
janrinzetnt: I've got duties outside the matrix.. perhaps this evening. for now i'll use an external wire to hook up the 12 MHz.12:22
*** lutsabound has joined #yosys14:01
*** _whitelogger has quit IRC14:04
*** _whitelogger has joined #yosys14:07
*** maikmerten has quit IRC14:21
*** emeb has joined #yosys15:00
*** futarisIRCcloud has quit IRC15:50
*** sxpert has quit IRC15:56
*** sxpert has joined #yosys15:56
*** janrinze has quit IRC16:49
*** endre_ has joined #yosys17:21
*** emeb has quit IRC17:24
endre_Hi, I have tried out yosys and nextpnr-ecp5 for the 1st time. I haven't got any experience with ECP5. Is it normal that for a 32 bit adder the following delay is reported?: "Info: Max delay <async> -> <async>: 23.84 ns"17:26
endre_Isn't it a little bit slow?17:26
*** emeb_mac has joined #yosys17:26
daveshahThat includes delay to/from the IO pins too17:26
daveshahFor something with 96 pins, they will be quite spread out17:27
daveshahPut the adder between two registers and see what the max frequency is, that will be more realistic17:27
endre_daveshah: thanks for the tip17:27
*** endre_ has quit IRC17:36
*** maikmerten has joined #yosys17:37
*** analognoise has joined #yosys17:40
*** emeb_mac has quit IRC17:40
*** analognoise has quit IRC18:28
*** analognoise has joined #yosys18:30
*** kuldeep has quit IRC18:45
*** kuldeep has joined #yosys18:51
*** janrinze has joined #yosys19:30
*** rohitksingh has joined #yosys19:39
*** m4ssi has quit IRC19:52
*** parport0 has quit IRC20:28
*** parport0 has joined #yosys20:29
janrinzedaveshah: is there a simple way to show usage the statistics? I see them when nextpnr runs but it would be nice to retrieve them from either an .asc or .bin20:40
daveshahYou can use icebox_stat20:40
daveshahon the asc20:41
*** lutsabound has quit IRC20:41
daveshahYou can also do 'yosys -p stat design.json' if you want post-synth statistics20:41
*** lutsabound has joined #yosys20:42
janrinzeAh, icebox_stat has not much info on the up5k DSP etc.20:43
tnt interestingly icebox_state doesn't match nextpnr output :/20:45
daveshahRoute throughs probably20:45
tntfor RAM ?20:46
daveshahNo, just in general20:47
tntI meant, they differ on the number of RAM used.20:47
janrinzethe yosys command works. Somehow only absolute values, no info on how much it is of the available resources.20:48
tntwell yeah, yosys has no idea which model you're targetting.20:48
daveshahIs the RAM difference up or down?20:48
tntup, icebox_stat reports 24 used.  In reality there is 18 RAM4K used (and 4 SPRAMs)20:49
janrinzeicebox_stat is very slow here.. The SPRAMs are not in the list and indeed it reports 6 SBRAM instead of 4 for my design.20:51
janrinzetnt: both results are around 50% more for BRAM.20:52
daveshahYeah, looks like the way icebox_stat counts BRAM is broken20:53
daveshahIt attempts to normalise y using  seg[1] - (seg[1] % 2)20:54
janrinzeAlso amount of CARRYs is a little less than what yosys reports.20:54
daveshahBut actually BRAMs start at an odd y20:55
daveshahicebox_stat counts used cout wires, Yosys reports number of SB_CARRY primitives20:57
*** maikmerten has quit IRC21:04
*** futarisIRCcloud has joined #yosys21:30
*** SpaceCoaster has quit IRC22:56
*** sxpert has quit IRC23:00
*** sxpert has joined #yosys23:00
*** danieljabailey has quit IRC23:07
*** danieljabailey has joined #yosys23:08

Generated by irclog2html.py 2.13.1 by Marius Gedminas - find it at mg.pov.lt!