Saturday, 2019-03-02

*** tpb has joined #yosys00:00
*** jayaura has quit IRC00:00
*** massi_ has quit IRC00:21
*** gsi__ has joined #yosys01:43
*** gsi_ has quit IRC01:45
*** emeb has joined #yosys03:16
*** emeb has left #yosys03:21
*** ZipCPU has joined #yosys03:25
*** ZipCPU is now known as ZipCPU|Alt03:25
*** promach_ has joined #yosys03:25
promach_corecode : do you think yosys-smtbmc is able to do NoC deadlock verification ?03:26
*** ZipCPU_ has joined #yosys03:26
*** ZipCPU_ is now known as ZipCPU03:26
*** ZipCPU|Alt has quit IRC03:26
*** pie___ has joined #yosys04:56
*** pie__ has quit IRC05:00
*** leviathanch has joined #yosys05:05
*** rohitksingh has joined #yosys05:16
*** promach_ has quit IRC05:31
*** rohitksingh has quit IRC05:43
*** _whitelogger has quit IRC05:58
*** _whitelogger has joined #yosys06:00
*** rohitksingh has joined #yosys06:01
*** shorne has joined #yosys07:13
shorneHello, I am trying to synthesize mor1kx (an openrisc core) with yosys.  I'm using the today's git version of yosys for the first time08:24
shornejust trying to run something like : yosys -f verilog -o synth.v -S mor1kx_dmmu.v mor1kx_true_dpram_sclk.v mor1kx_immu.v ....08:26
shorneafter MEMEMORY_MAP phase I just get "Killed"08:27
shorneand it exits08:27
shornesomething I am doing wrong?08:27
tntDoes 'dmesg' say anything ?08:30
*** rohitksingh has quit IRC08:44
*** _whitelogger has quit IRC09:04
*** _whitelogger has joined #yosys09:06
daveshahshorne: is it possible there are any large (e.g. tens to hundreds of MB) RAMs in there?09:29
tntI would more suspect a RAM that ends up not being mapped to ... RAM.09:35
daveshahNo RAMs will be mapped to RAM with -S which is generic logic synthesis09:37
shorneok, maybe I am missing ram mapping09:37
shornethere might be around 1 MB or ram09:38
shorneWe have caches and a ton of registers09:39
shornebut not that much09:39
shorneRunning again09:39
shornetnt: right its the oom killer09:39
shorneOut of memory: Kill process 21146 (yosys) score 614 or sacrifice child09:39
tnt1 MB done in FF is ... a lot of FF and muxes and ... :p09:40
shorneok, it worked after doing:  yosys -f verilog -o synth.v -p memory -p opt -S mor1kx_dmmu.v  ...09:43
shorneI was thinking the MEMORY_MAP was doing the memory conversions09:43
shorneSorry, first time using yosys09:43
shornerecently I have just been using iverilog/verilator ... not synthesizing anything :)09:44
*** maikmerten has joined #yosys10:16
*** proteusguy has joined #yosys10:57
*** citypw has quit IRC10:58
*** citypw has joined #yosys11:12
*** proteusguy has quit IRC11:21
corecodepromach: how would you detect a deadlock?11:24
*** proteusguy has joined #yosys11:34
corecodepromach: what did you implement for your NoC so far?11:43
*** _whitelogger has quit IRC12:19
*** _whitelogger has joined #yosys12:21
*** citypw has quit IRC12:24
*** TFKyle has quit IRC13:03
develonepi3andrewrk, updated zig ver c4887d7f. This is the cmd that I used to get zigmain.o zig build-obj -isystem ../../include/ -isystem /usr/lib/arm-none-eabi/include -isystem /usr/lib/arm-none-eabi -target armv7-freestanding-gnueabihf zigmain.zig.13:28
*** _whitelogger has quit IRC13:43
*** _whitelogger has joined #yosys13:45
*** promach_ has joined #yosys14:48
promach_corecode : if I use Spidergon, then I am afraid that I cannot avoid deadlock.  I am not sure if I could combine Spidergon with turn-restriction routing14:50
promach_I am not sure if I even want to detect14:51
promach_when I could avoid/eliminate deadlock entirely14:51
*** TFKyle has joined #yosys15:09
corecodewhy would you get a deadlock?15:48
*** promach_ has quit IRC15:50
*** cr1901_modern has quit IRC16:09
*** cr1901_modern has joined #yosys16:14
*** proteusguy has quit IRC16:39
*** lutsabound has joined #yosys17:09
*** maikmerten has quit IRC17:31
*** kuldeep has quit IRC17:42
*** kuldeep has joined #yosys17:42
*** proteusguy has joined #yosys17:43
*** proteusguy has quit IRC18:11
*** proteusguy has joined #yosys18:24
*** leviathanch has quit IRC18:34
FL4SHKDoes yosys have a VHDL frontend?18:48
FL4SHKalso, regarding formal verification with yosys, is there any way to do so with VHDL?18:49
FL4SHKMy guess and possibly correct "knowledge" is that neither of these are available.18:50
FL4SHKI thought I'd ask anyway, though.18:50
tntThere is a commercial 'plugin' I think.18:51
daveshahFL4SHK: There are some experimental projects to add VHDL frontends to Yosys, ime the best option is vhdl2vl18:51
daveshahThe commercial frontend is Verific. This does support formal verification, at least asserts (not sure about assumes)18:51
daveshahIf your purposes are research/personal/academic a free license might be available18:52
daveshahsee https://www.symbioticeda.com/research-partner-license-program-serp/ and https://www.symbioticeda.com/education-and-training-seet-license-program/18:52
tpbTitle: Research Partner License Program (SERP) Symbiotic EDA (at www.symbioticeda.com)18:52
FL4SHKvhd2vl's existence actually surprises me18:52
FL4SHKwait18:54
FL4SHKdoesn't support pcakages, structures, or functions?18:54
FL4SHKwithout those features, I have no need to use VHDL18:54
tnt:)18:54
daveshahNo, vhdl2vl pretty much supports the verilog feature set only18:54
FL4SHKguess I'll continue with my compiler project then, heh.18:55
FL4SHKI'm not working on a VHDL compiler, but rather a compiler for a custom HDL18:55
FL4SHKit'll be spitting out Verilog-200118:55
FL4SHKthe fact that it carries over comments is intriguing18:56
FL4SHK*the fact that vhd2vl18:56
FL4SHKI may or may not want to do so myself...18:57
*** zkms_ is now known as zkms18:57
*** ddrown_ is now known as ddrown19:14
*** lutsabound has quit IRC19:29
*** FL4SHK has quit IRC22:38
*** FL4SHK has joined #yosys22:38

Generated by irclog2html.py 2.13.1 by Marius Gedminas - find it at mg.pov.lt!