Thursday, 2019-02-28

*** tpb has joined #yosys00:00
*** develonepi3 has quit IRC00:30
*** develonepi3 has joined #yosys00:34
*** gsi__ has joined #yosys01:46
*** gsi_ has quit IRC01:49
*** FL4SHK has quit IRC02:06
*** FL4SHK has joined #yosys02:17
*** proteusguy has quit IRC02:50
*** citypw has joined #yosys03:21
*** leviathanch has joined #yosys03:35
promachcorecode : is your usb verilog code posted in github repo ?04:06
*** rohitksingh has quit IRC04:21
*** rohitksingh_work has joined #yosys04:44
*** pie___ has joined #yosys04:58
*** pie__ has quit IRC05:02
*** lutsabound has quit IRC05:13
*** emeb has quit IRC05:27
*** rohitksingh has joined #yosys06:05
jayauraHi, icebox_stat can list how many resources were used, but can some tool list whats the maximum available resources for the fpga the design was compiled for ?06:54
*** ZipCPU has joined #yosys07:01
*** leviathanch has quit IRC08:00
*** m4ssi has joined #yosys08:17
*** rohitksingh has quit IRC09:08
*** rohitksingh has joined #yosys09:11
*** leviathanch has joined #yosys09:36
corecodepromach: i don't have usb verilog code10:12
corecodejayaura: you mean what the model has resources?10:12
*** citypw has quit IRC10:13
jayauracorecode: yes, like giving the resource summary as "GBUF: 8 of 8" instead of "GBUF: 8" as I see now10:14
corecodei guess you could modify the code10:14
jayauraI mentioned gbuf figuratively.10:15
corecodeyes10:15
jayaurai mean, the toolchain already know what resouces the fpga part contains. why not just say it :P10:15
corecodebecause you didn't change the code yet10:15
jayaurais that necessary? when I do a clean build, shouldnt it report the used and available resources?10:16
corecodewhat do you mean by clean build10:17
daveshahBoth arachne-pnr and nextpnr will print both resource usage and total available during pnr10:23
jayauraAh sorry my mistake. I needed to look inbetween. I was looking at the end. arachne-pnr was only reporting span4 and span12 at the end, and not the LCs statistics, which was summarized right after placement10:57
promachcorecode : wait, I thought you said coded something on usb ?11:03
corecodeyes, for microcontrollers11:05
promachso, the code is not in verilog ?11:05
promachcorecode11:05
corecodeno, it's code, not design11:10
promachcorecode : I do not get you11:17
promachI remembered you said you coded something on usb ?11:18
promach"it's code, not design" ??11:18
*** proteusguy has joined #yosys11:37
*** massi_ has joined #yosys11:51
corecodeyes, code12:07
corecodefor a microcontroller12:08
corecodeno hdl12:08
promachc ?12:08
corecodeyes12:08
promachI really do not want to deal with the usb linux driver c code12:09
promachthat is so ugly to debug if you really need to (which I think is bug-free)12:09
promachcorecode12:09
corecodewhat is bug free?12:09
promachfree of bugs12:09
corecodewhat is bug free12:09
promachlike receiving the wrong data from the usb protocol12:10
promachwhich is impossible at all12:10
promachgiven that usb had evolved so far12:10
corecodewhat are you talking about12:10
promachcorecode12:10
promachI am talking about libusb12:10
promachhttps://github.com/libusb/libusb/wiki12:11
tpbTitle: Home · libusb/libusb Wiki · GitHub (at github.com)12:11
promachc code is much more difficult to debug compared to verilog code12:11
promachI might be wrong, but it is just personal experience12:12
promachcorecode12:12
*** m4ssi has quit IRC12:25
*** rohitksingh_work has quit IRC13:00
*** rohitksingh has quit IRC13:03
*** rohitksingh has joined #yosys13:49
MoeIcenowyicebox_vlog cannot deal with UP IP?14:40
MoeIcenowyI tried to use it to generate a verilog for UPduino RGB blink sample14:40
MoeIcenowyand I found no IP is generated14:40
daveshahNo, it can't14:41
MoeIcenowydaveshah: I found that the verilog file of the sample defines LED pins as output14:43
MoeIcenowywill the same be needed for yosys-nextpnr workflow?14:43
daveshahNo, just the driver primitive should be fine14:43
*** kraiskil has joined #yosys14:55
*** citypw has joined #yosys14:56
*** emeb has joined #yosys15:01
MoeIcenowydaveshah: a module without any input or output, but only IPs will not be "optimized"?15:01
corecodewa?15:01
corecodewhat kind of module would that be?15:02
corecodeno input or output15:02
MoeIcenowycalls HFOSC15:02
MoeIcenowythen RGBDRV15:02
corecodecalls?15:02
daveshahJust double checked and keep isn't set on the RGB primitive, so it does need outputs actually15:02
daveshahAdding `(* keep *)` here would change that if you wan it: https://github.com/YosysHQ/yosys/blob/master/techlibs/ice40/cells_sim.v#L94415:02
tpbTitle: yosys/cells_sim.v at master · YosysHQ/yosys · GitHub (at github.com)15:02
MoeIcenowybut I think I will choose to use the oscillator on board with my own board ;-)15:09
MoeIcenowyjust borrow a bitstream from UPduino now to test15:09
MoeIcenowymy iCE40UP5K-SG48I's arrived LCSC at Shenzhen today15:09
MoeIcenowyand will ship to me tomorrow15:09
tntoh they have ice40s now ?15:33
*** kraiskil has quit IRC15:43
corecodeMoeIcenowy: where are you at?15:49
MoeIcenowytnt: no, it's digikey via LCSC ;-)15:51
MoeIcenowycorecode: Guangzhou15:52
corecodeah, close to sz15:52
corecodeor?15:52
MoeIcenowyyes, close to sz15:52
MoeIcenowy1 hrs of high-speed train15:52
MoeIcenowys/hrs/hr/15:52
corecodedid you move there or were you born there?15:53
tntMoeIcenowy: oh they do that ? didn't know15:54
MoeIcenowycorecode: moved here 9 yrs ago15:56
MoeIcenowytnt: only available at China15:56
corecodedo you like it?15:56
MoeIcenowystrangely the components at Digikey via LCSC is (very) slightly cheaper than Digikey itself15:57
corecodemaybe special contract15:57
MoeIcenowyfor example, the price of iCE40UP5K-SG48I on Digikey itself is CNY 4915:58
MoeIcenowybut on Digikey via LCSC it's 4715:58
MoeIcenowyand Digikey itself requires one order must be at least CNY30015:58
MoeIcenowybut Digikey via LCSC has no restriction15:58
MoeIcenowy(yes, my order is only 5 iCE40UP5K-SG48I's, so it's less than 30015:59
corecodei guess they pool orders16:01
*** rohitksingh has quit IRC16:07
*** rohitksingh has joined #yosys16:14
*** ec0 has quit IRC16:25
*** ec0 has joined #yosys16:27
*** maikmerten has joined #yosys16:33
*** kraiskil has joined #yosys16:41
*** leviathanch has quit IRC16:48
*** citypw has quit IRC17:00
*** X-Scale has quit IRC17:41
*** X-Scale` has joined #yosys17:42
*** massi_ has quit IRC18:41
emebcorecode: yay - got my old u4k breakout board blinking w/ your icestorm work. Thanks for getting that going.18:51
*** lutsabound has joined #yosys19:07
corecodecool19:08
emebcorecode: do you have any idea how much effort it would be to add support for the LED driver core in u4k? Without that those three pins appear to be unusable.19:16
corecodeyou can put ios on there19:17
corecodebut they are OD19:17
emebcorecode: Ah ok - I'll give that a shot.19:17
emebOD is fine for driving LEDs - just don't get the current control I guess.19:17
corecodeyes19:17
emebseems to work fine. thx.19:21
corecodecool19:22
*** rohitksingh has quit IRC19:23
emebso I guess it's just that the proper hooks for those IP cores isn't in nextpnr at the moment?19:23
corecodeno19:24
corecodethe cores for the u4k are a bit different19:24
emebah, so it would be necessary to RE them and add support to yosys too.19:25
corecodeyes, most of the work will be in icestorm19:25
emebok, probably not really worth it just to save a few resistors. :)19:26
emebcorecode: is there anyplace I can look to see exactly what features of u4k are unsupported, or is it just a "try and find out" problem?19:28
corecodeyes, look in icebox.py at the list of peripherals19:29
ylamarreDocumentation is in the code! :D19:29
ylamarreAh! :P19:29
corecodethe u4k ones are really short compared to the 5k19:29
corecodeso those can be reversed and/or confirmed19:30
emebcorecode: thanks - I'll take a look.19:30
emeb(don't know the ice* codebase well enough to know where everything is)19:30
daveshahemeb: The resource utilisation print of nextpnr is also a good list of what primitives are available19:31
daveshahalthough, of course, it doesn't tell you which will actually work19:31
* ylamarre was actually joking.19:31
ylamarreBut turns out, code IS the doc in this case...19:32
emebdaveshah: cool19:32
emebylamarre: I figured you were actually right.19:32
emebthe resource util pg for my blinky design: https://pastebin.com/9BRQpEbR19:33
tpbTitle: Info: Device utilisation: Info: ICESTORM_LC: 28/ 3520 0% Info - Pastebin.com (at pastebin.com)19:33
ylamarreemeb: From what I remember icebox.py is quite nice to go through. Not too difficult to read last time I checked.19:33
emebso looks like all the stuff I care about is there.19:34
ylamarreBut that was like 3 years ago when there was only support for ice40LP/HX19:34
emeblooking at it now - it's a big file but not hard to navigate.19:35
emebheh - u4k extra bits db "made up" - I guess that means they don't actually work.19:39
corecodeyea i didn't bother with trying them for a different footprint19:45
emebheh, yeah. the other footprints are not super easy to design with.19:48
emebI've got one of the "official" Lattice breakouts for the u4k and it's got the little WLCSP-36 part. Hard to imagine the kind of PCB rules you'd need to use that.19:51
corecodeyey so you can port for that footprint20:04
emeblol yes - if I knew WTF I was doing. :)20:05
corecodeyea that's how i started20:05
emebI know that clifford wrote up some #exactsteps for the the process of adding stuff a few years back. I wonder if those still apply.20:07
*** ZipCPU has quit IRC20:09
*** ZipCPU has joined #yosys20:13
*** kraiskil has quit IRC20:42
corecodeso if you just want to map out connections, just instantiate the IP core and look at the explain output20:56
*** m4ssi has joined #yosys21:05
*** lutsabound has quit IRC21:27
*** lutsabound has joined #yosys21:30
*** maikmerten has quit IRC21:35
*** jwhitmore has joined #yosys21:38
*** gsi__ is now known as gsi_21:56
emebcorecode: for the n00b, which tool generates explain?22:03
emebderp - icebox_explain. :P22:12
*** jwhitmore has quit IRC22:16
corecode:D22:29
emebis it my imagination or are the cell coords given by explain off-by-one from those displayed in the icecube floorplanner?22:54
emebnah - they're fine.22:56
*** m4ssi has quit IRC23:41
*** lutsabound has quit IRC23:57

Generated by irclog2html.py 2.13.1 by Marius Gedminas - find it at mg.pov.lt!