Monday, 2019-02-18

*** tpb has joined #yosys00:00
*** emeb has left #yosys00:05
*** danieljabailey has quit IRC00:07
*** FL4SHK has quit IRC01:03
*** leviathanch has joined #yosys01:11
*** FL4SHK has joined #yosys01:25
*** gsi__ has joined #yosys02:06
*** gsi_ has quit IRC02:09
*** proteusguy has quit IRC02:11
*** leviathanch has quit IRC02:11
MoeIcenowysxpert: I think someone did initial RE on EP4CE602:18
MoeIcenowyBTW I have ordered a EP4CE115 board for CNY ¥495 (around USD $75)02:20
*** mobius has quit IRC02:34
*** citypw has joined #yosys02:45
*** _whitelogger has quit IRC03:19
*** _whitelogger has joined #yosys03:21
*** danieljabailey has joined #yosys04:08
*** seldridge has quit IRC04:22
*** s_frit_ has joined #yosys04:25
*** pie__ has joined #yosys04:25
*** s_frit has quit IRC04:26
*** pie___ has quit IRC04:29
*** s_frit_ has quit IRC04:34
*** s_frit has joined #yosys04:36
*** emeb_mac has joined #yosys04:51
*** proteusguy has joined #yosys05:19
*** proteusguy has quit IRC05:21
*** proteusguy has joined #yosys05:22
*** rohitksingh_work has joined #yosys05:28
*** seldridge has joined #yosys05:52
*** seldridge has quit IRC06:28
*** proteusguy has quit IRC07:01
*** emeb_mac has quit IRC07:12
*** proteusguy has joined #yosys07:17
*** dys has quit IRC07:50
*** Thorn has quit IRC07:57
*** m4ssi has joined #yosys08:02
*** Thorn has joined #yosys08:08
promachis it possible that bmc passed at depth = 5 , but failed at depth = 10 ? Note that I am using "proof: depth 10" in sby file08:10
*** dys has joined #yosys08:13
*** dys has quit IRC08:36
*** citypw has quit IRC09:47
ZipCPUpromach: Absolutely!10:07
ZipCPUIt's actually a common problem in one of my counter exercises.  The counter gets set and starts counting down from 22, goes to idle, and then gets set and counts down again10:08
ZipCPUThe only problem is ... we use a depth of 20 for the exercise, and there are often bugs at the point where the counter stops, waits, and restarts -- all at clock 22+, long after the 20 clocks necessary for the depth of 2010:09
*** proteusguy has quit IRC10:28
*** proteusguy has joined #yosys10:44
promachZipCPU: ok11:00
promachI know what went wrong in my code now11:00
*** AlexDaniel has joined #yosys11:28
corecodewhat did?11:37
corecodedaveshah: how should i proceed with this port?11:37
daveshahcorecode: have you tested some small designs through icebox_vlog11:38
corecodenot explicitly11:40
corecodei think some of the test scripts do that11:40
daveshahIt would be worth trying a few designs manually and making sure the output is sane11:41
corecodei think at least i need to confirm the global networks & pins11:43
daveshahThere are some Verilog designs for testing global networks in icefuzz/tests11:44
corecodeyea, i will have to add some other footprint to cover all pads11:45
daveshahIf it's anything like the UltraPlus, not every theoretical pad is covered even over all footprints...11:45
corecodewhat does that mean11:46
daveshahthere are some IO locations that are not used in any package11:46
*** leviathanch has joined #yosys11:56
MoeIcenowymaybe they will furtherly provide packages with more pins ;-)12:24
*** seldridge has joined #yosys12:55
*** citypw has joined #yosys12:59
*** rohitksingh_work has quit IRC13:03
*** rohitksingh has joined #yosys14:12
*** tmeissner has joined #yosys14:49
*** jevinskie has joined #yosys14:52
*** jevinski_ has quit IRC14:53
*** emeb has joined #yosys14:55
*** s_frit has quit IRC14:57
*** jevinskie has quit IRC16:14
*** jevinskie has joined #yosys16:14
*** jevinskie has quit IRC16:19
*** citypw has quit IRC16:24
*** emeb has quit IRC16:44
*** emeb has joined #yosys16:46
*** tmeissner has quit IRC16:58
*** jevinskie has joined #yosys17:10
*** leviathanch has quit IRC17:47
*** m4ssi has quit IRC18:46
*** SpaceCoaster has quit IRC18:58
*** SpaceCoaster has joined #yosys19:01
*** chaseemory has joined #yosys19:26
*** rohitksingh has quit IRC19:53
*** dys has joined #yosys21:09
corecodedaveshah: hm, the ultralite datasheet says that global pin X is connected to global buffer X22:42
corecodeis that different from global network X?22:42
daveshahNo, it isn't22:42
daveshahglobal pin X drives global buffer X22:43
daveshahalso, are you doing the ultra or the ultralite, btw?22:43
daveshahbeware that the SB_GB which drives from fabric (as opposed to SB_GB_IO from the global pin) at the same location drives a different global network22:44
corecodeultralite, i think ice5lp22:44
daveshahice5lp isn't the ultralite, it's the ultra22:44
corecodeaha!22:44
corecodemeh22:45
corecodewhy are they so bad with names22:45
daveshahthe patch so far was the u4k, which is the ice5lp/ultra not the ultralite22:45
daveshahyeah, ikr22:45
*** proteusguy has quit IRC22:45
*** pie__ has quit IRC23:16
corecodedaveshah: how would i force use of a specific global network?  i'm trying to figure out the fabout locations23:24
daveshahcorecode: you can constrain it in the icecube floorplan view, or in the pcf file23:24
daveshahI can't remember the syntax for the latter23:24
corecodeaha23:24
corecodeokay23:24
corecodei'll just skip the two padin that don't exist on this footprint23:25
daveshahicebox requires all 8 padins23:26
corecodeah23:26
corecodebut there are not even 823:26
daveshahhmm23:26
corecodeat least not documented23:26
daveshahthe remaining ones should be at the same location as the fabouts23:27
corecodeand what about the extra bits?23:27
corecodejust keep them short?23:27
daveshahmissing extra bits are fine23:27
corecodethank you23:27
daveshahit's only the padin_pio db that has to have 8 entries23:27
daveshahtwo of the extra bits will come from the oscillators23:28
corecodeah i guess it is called gbufin23:28
daveshahhopefully with oscillators and all packages all 8 extra bits will be covered in any case23:28
corecodei don't think so23:28
daveshahgbufin is the fabouts (x, y, global network)23:29
corecodeok23:30
corecodebit of a misnomer23:30
daveshahfor some reason the documentation for it ended up in icebox_chipdb.py (the exporter)23:31
daveshahhttps://github.com/cliffordwolf/icestorm/blob/master/icebox/icebox_chipdb.py23:31
tpbTitle: icestorm/icebox_chipdb.py at master · cliffordwolf/icestorm · GitHub (at github.com)23:31
corecode!!!23:32

Generated by irclog2html.py 2.13.1 by Marius Gedminas - find it at mg.pov.lt!