Saturday, 2019-02-16

*** tpb has joined #yosys00:00
emebcorecode: what are you doing?00:03
*** emeb_mac has joined #yosys00:54
corecodeemeb: actually i just want to try my forth cpu on an fpga00:59
corecodebut icecube2 fails to place it01:00
corecodeso now i'm - under protest - porting icestorm to the fpga i'm using01:00
*** voxadam has quit IRC01:17
*** seldridge has quit IRC01:19
*** voxadam has joined #yosys01:32
*** gsi__ has joined #yosys02:10
*** gsi_ has quit IRC02:13
*** citypw has quit IRC02:36
emebcorecode: interesting problem04:14
emebwhich FPGA are you using?04:14
*** emeb has quit IRC04:15
*** rohitksingh has joined #yosys05:21
*** Marex_ has joined #yosys05:48
*** awordnot has quit IRC05:48
*** Marex has quit IRC05:48
*** svenn has quit IRC05:48
*** Kooda has quit IRC05:48
*** svenn has joined #yosys05:49
*** awordnot has joined #yosys05:49
*** FL4SHK has quit IRC05:50
*** awordnot has quit IRC05:54
*** awordnot has joined #yosys05:57
*** FL4SHK has joined #yosys06:01
*** jevinskie has joined #yosys06:13
*** rohitksingh has quit IRC06:24
*** rohitksingh has joined #yosys06:25
*** leviathanch has joined #yosys07:09
*** emeb_mac has quit IRC07:13
*** rohitksingh has quit IRC07:14
*** jevinskie has quit IRC07:19
*** jevinski_ has joined #yosys07:19
*** rohitksingh has joined #yosys07:23
*** _whitelogger has quit IRC08:28
*** _whitelogger has joined #yosys08:30
*** rohitksingh has quit IRC08:42
*** m_w has quit IRC08:59
corecodethe ice5lp1k10:17
*** xdeller__ has quit IRC10:17
*** xdeller__ has joined #yosys10:18
sxpertdaveshah: getting some messages from yosys when compiling about "assert" being used while read_verilog is not called with -sv on ecp5/cells_sim.v:41[1-4]10:19
*** maikmerten has joined #yosys10:38
*** mrec has joined #yosys11:24
mrecI wonder is Clifford here?11:31
daveshahsxpert: hmm, perhaps an ifdef is needed11:37
daveshahmrec: no, not usually11:37
mrecsome of his slides seem to be wrong ice40up5k doesn't have 128kbit bram, it's supposed to be 120kbit11:38
mrec30*4k11:38
daveshahIndeed that is correct, I'll let him know11:38
daveshahThe lp8k/hx8k does have 128kbit11:39
mrechttp://www.clifford.at/papers/2018/nextpnr/slides.pdf11:39
mrecyes11:39
mrecwell the specs are also wrong at the bottom of the pdf11:39
* sxpert would like an ECP-5 100k with 8Mbit of bram ;)11:41
mrecI'm happy with the ice40up for small items, the crappy linux spi implementation needs a lot cache11:42
*** Marex_ is now known as Marex11:42
daveshahSPRAM would probably make sense11:44
mrechmm is there anything better/faster/free available for simulating ice40 (mixed vhdl/verilog) designs than ActiveHDL?11:46
daveshahMixed HDL, probably not11:48
mrecit takes quite a few seconds to simulate 10 milliseconds11:49
mrecmore like a minute+11:49
daveshahVerilator will be much faster, but is Verilog only11:49
daveshahit also might not support the vendor verilog models, because it doesn't implement the full event model, but it should work with the Yosys ones11:50
corecodei have no idea whether i am 10% or 90% done with the ul port11:52
daveshahIf you want to create a PR or stick the repo somewhere I'm happy to take a look11:54
corecodethanks11:54
daveshahIf you can get meaningful output from icebox_vlog for a few small designs from icecube (unpacked with iceunpack) then that's a good first step11:56
* sxpert is happy, his decoder and alu can both be stalled at the same time by the bus controller11:56
sxpertfor example, when said bus controller will have to go fetch some dram data11:57
corecodedaveshah: https://github.com/cliffordwolf/icestorm/compare/master...corecode:u4k?expand=112:05
tpbTitle: Comparing cliffordwolf:master...corecode:u4k · cliffordwolf/icestorm · GitHub (at github.com)12:05
corecodesome stupid whitespace changes in there as well - auto whitespace cleanup on save12:06
daveshahcorecode: mostly looks good. Main comment right now is that the "_8k" RAM databases should be used, not the unprefixed (1k) ones12:18
daveshahThe icebox changes all make sense12:20
corecodeyea it's just that several of the icebox changes are not tested, just copied from the 5k12:45
*** rohitksingh has joined #yosys14:00
*** promach_ has joined #yosys14:08
*** maikmerten has quit IRC14:21
*** jevinski_ has quit IRC14:40
*** jevinskie has joined #yosys14:42
*** proteusguy has quit IRC15:09
*** lutsabound has joined #yosys15:21
*** proteusguy has joined #yosys15:24
*** AlexDaniel has joined #yosys15:47
promach_ZipCPU sxpert : https://gist.github.com/promach/5f2d9a9494704ed93cf65687c982198c had passed bmc, induction and cover()16:18
tpbTitle: A signed multiply verilog code using row adder tree multiplier and modified baugh-wooley algorithm · GitHub (at gist.github.com)16:18
promach_and this multiplier code had also no problem with A_WIDTH != B_WIDTH so far16:20
*** rohitksingh has quit IRC16:24
promach_strange, when A_WIDTH = B_WIDTH = 4 , induction passed,   but induction failed when A_WIDTH = B_WIDTH = 616:27
*** maikmerten has joined #yosys16:43
*** Cerpin has quit IRC16:54
*** Cerpin has joined #yosys17:03
corecodewhat is this sby file?17:04
daveshahsby is the config file for SymbiYosys, a wrapper around Yosys and various SAT/SMT solvers for formal verification17:05
corecodeah, thanks17:19
*** promach_ has quit IRC17:36
*** AlexDaniel has quit IRC18:13
*** seldridge has joined #yosys18:33
*** seldridge has quit IRC18:48
*** ZipCPU|Laptop has joined #yosys18:54
*** maikmerten has quit IRC19:02
*** s_frit has quit IRC19:13
*** s_frit has joined #yosys19:14
*** Laksen has joined #yosys19:14
*** leviathanch has quit IRC19:42
*** emeb_mac has joined #yosys20:07
*** lutsabound has quit IRC21:01
*** Laksen has quit IRC22:17
*** ZipCPU|Laptop has quit IRC22:24
*** lutsabound has joined #yosys22:36
*** ZipCPU|Laptop has joined #yosys23:12
*** kmehall has quit IRC23:24
*** ZipCPU|Laptop has quit IRC23:47

Generated by irclog2html.py 2.13.1 by Marius Gedminas - find it at mg.pov.lt!