Sunday, 2019-02-10

*** tpb has joined #yosys00:00
*** srk has quit IRC00:21
*** citypw has quit IRC00:35
*** srk has joined #yosys01:03
*** dys has quit IRC01:49
*** gsi_ has joined #yosys02:21
*** gsi__ has quit IRC02:24
*** promach_ has joined #yosys02:48
promach_ZipCPU: voodoo ?02:48
promach_it seems like the cover(in_valid) failure got to do with    initial assert()03:02
promach_but I do not know why exactly this leads to cover() failure03:03
promach_ZipCPU03:03
*** chaseemory has quit IRC03:52
*** pie__ has joined #yosys04:35
*** pie_ has quit IRC04:38
*** promach_ has quit IRC05:02
*** _whitelogger has quit IRC06:28
*** _whitelogger has joined #yosys06:30
*** citypw has joined #yosys07:32
*** leviathanch has joined #yosys07:38
*** dys has joined #yosys07:43
*** emeb_mac has quit IRC07:49
*** rohitksingh has joined #yosys08:20
*** rohitksingh has quit IRC08:49
*** rohitksingh has joined #yosys09:54
*** _whitelogger has quit IRC10:07
*** _whitelogger has joined #yosys10:09
*** rohitksingh has quit IRC10:27
*** leviathanch has quit IRC10:30
*** maikmerten has joined #yosys10:50
*** proteusguy has quit IRC11:10
maikmertenmost surprising thing I found out in the last 24 hours: This does 1080p@60 VGA without glitching: https://pasteboard.co/I0vtcVD.jpg11:47
tpbTitle: Pasteboard - Uploaded Image (at pasteboard.co)11:47
maikmertenI certainly would not have assumed that one can somehow drive a ~147 MHz pixel clock through such a setup, without any attempt of termination etc.11:48
maikmertenso the signals signals go FPGA board -> extension board with SRAM and Pmod -> Pmod connector -> Pmod to ISP cable adapter -> ISP cable -> ISP cable to Pmod adapter -> Pmod connector -> non-inverting bus driver with resistor DAC11:51
sxpertworks as long as your total wire lengh < 1m or so12:05
*** leviathanch has joined #yosys12:06
*** MoeIcenowy has quit IRC13:03
*** MoeIcenowy has joined #yosys13:03
*** Moe_Icenowy has joined #yosys13:19
*** MoeIcenowy has quit IRC13:23
*** Moe_Icenowy is now known as MoeIcenowy13:23
*** cr1901_modern has quit IRC13:33
maikmerten"<sxpert> works as long as your total wire lengh < 1m or so" -- that sounds like a very thumby rule of thumb ;-)14:00
*** cr1901_modern has joined #yosys14:02
*** somlo has quit IRC14:11
*** lutsabound has joined #yosys14:12
*** corecode has joined #yosys15:11
corecodehi15:11
corecodei guess in the end i do have to port icestorm to my ice5lp, because icecube's placer fails with my design15:12
*** rohitksingh has joined #yosys15:12
sxpertmaikmerten: it is ;)15:15
sxpertcan I use "=" for a result that I need in a calculation immediately in an "always @(posedge clk)" block ?15:17
*** pie__ has quit IRC15:37
*** pie__ has joined #yosys15:37
maikmertensxpert, yes, I'm pretty sure I used that once15:41
maikmertensxpert, ended up removing that, though, because verilator does *not* allow that15:42
maikmerten(iverilog is okay with that style, though)15:42
maikmertenokay, yes, used that a while back15:43
maikmerten always @(negedge clk) begin15:43
maikmerten ...15:43
maikmerten if(!busy) state = nextstate; // assume new state NOW!15:43
maikmerten...15:43
maikmerten  case(state)15:43
maikmertenetc. etc.15:44
maikmertenI *think* that style isn't overly recommended, though15:45
maikmertenfor reasons more experienced Verilog developers can surely elaborate on ;-)15:45
*** dys has quit IRC15:51
*** proteusguy has joined #yosys15:56
*** somlo_ has joined #yosys16:00
*** dys has joined #yosys16:01
*** m4ssi has joined #yosys16:18
*** mirage335 has quit IRC16:19
corecodesounds you're mixing combinational logic and flops?16:23
*** mirage335 has joined #yosys16:25
*** maikmerten has quit IRC16:26
sxpertok so it's frowned upon16:44
*** chaseemory has joined #yosys17:22
corecodei'm by no means an expert, but separating (complex) combinational logic and clocked logic (flops) helps understand the design better17:22
*** proteusguy has quit IRC17:26
*** proteusguy has joined #yosys17:28
*** rohitksingh has quit IRC17:30
lutsaboundsxpert: why not use an @* block instead?17:34
somlo_daveshah: nextpnr PR #219 took me from 4:49:42 down to 0:17:26 (on a QEMU/KVM guest running on 2.4GHz Westmere hardware)17:35
somlo_haven't actually tried programming the board (it's at the office), so I'll do that tomorrow17:36
somlo_that's for the rocket-chip based blinky, to be precise17:37
somlo_oh, and that was before you force-pushed a newer version of the PR17:39
*** rohitksingh has joined #yosys17:42
sxpertok, I did what I wanted with another solution ;-)17:43
sxpert(do some of the stuff in a previous phase...17:43
sxpertas in, an actual different clock phase17:44
sxpert(add moar registers)17:44
*** leviathanch has quit IRC17:48
sxpertlooks like the instruction set I'm going for is too complicated, needs more thought, single cycle instructions are not really doable17:48
sxpertthe original is probably microprogrammed17:49
*** brasilino has joined #yosys18:04
corecodesxpert: what are you making?18:21
*** chaseemory has quit IRC18:30
*** chaseemory has joined #yosys18:38
*** m4ssi has quit IRC18:50
*** brasilino has quit IRC18:50
*** somlo_ has quit IRC19:01
*** rohitksingh has quit IRC19:03
*** awordnot has quit IRC20:12
*** awordnot has joined #yosys20:13
sxpertcorecode: implementing a saturn architecture21:11
*** awordnot has quit IRC21:40
*** awordnot has joined #yosys21:41
*** awordnot has quit IRC21:56
*** awordnot has joined #yosys21:57
*** somlo has joined #yosys22:05
*** AlexDaniel has quit IRC23:58

Generated by irclog2html.py 2.13.1 by Marius Gedminas - find it at mg.pov.lt!