Saturday, 2018-11-03

*** tpb has joined #yosys00:00
*** emeb_mac has joined #yosys00:08
*** kuldeep has quit IRC00:21
*** kuldeep has joined #yosys00:50
*** leviathan has joined #yosys02:02
*** pie_ has quit IRC02:10
*** emeb has left #yosys03:18
*** _whitelogger has quit IRC05:06
*** _whitelogger has joined #yosys05:08
*** _whitelogger has quit IRC06:41
*** TD-Linux has quit IRC06:41
*** jhol has quit IRC06:41
*** jhol has joined #yosys06:41
*** TD-Linux has joined #yosys06:42
*** rohitksingh has joined #yosys06:55
*** emeb_mac has quit IRC07:08
*** _whitelogger has joined #yosys07:26
*** AlexDaniel has quit IRC07:33
*** rohitksingh has quit IRC08:07
*** rohitksingh has joined #yosys08:35
*** leviathan has quit IRC08:46
*** rohitksingh has quit IRC08:49
*** xerpi has joined #yosys09:00
*** promach_ has joined #yosys09:27
promach_Does yosys support calling variables from modules from different hierarchy ? I think this is a feature provided by systemverilog "bind". Please correct me if I am wrong09:28
promach_https://media.readthedocs.org/pdf/symbiyosys/latest/symbiyosys.pdf#page=2409:41
daveshahpromach_: that page refers to Yosys' Verific SystemVerilog support09:44
daveshahI don't think this feature is supported in Yosys on its own09:45
promach_daveshah: so, not supported in yosys-smtbmc ?09:51
daveshahpromach_: not supported in Yosys' own verilog frontend09:52
daveshahsmtbmc is part of the solver interface and irrelevant here09:52
daveshahIndeed Yosys with Verific uses the same smtbmc09:52
*** maikmerten has joined #yosys10:07
*** rohitksingh has joined #yosys10:16
*** rohitksingh has quit IRC10:22
*** rohitksingh has joined #yosys10:34
*** xerpi has quit IRC10:43
*** leviathan has joined #yosys12:08
*** dys has quit IRC12:20
*** promach_ has quit IRC12:30
*** rohitksingh has quit IRC12:31
*** dys has joined #yosys12:35
*** rohitksingh has joined #yosys15:04
*** emeb_mac has joined #yosys15:08
*** kuldeep has quit IRC15:41
*** kuldeep has joined #yosys15:47
*** AlexDaniel has joined #yosys15:48
*** sandeepkr has joined #yosys15:49
*** leviathan has quit IRC16:38
*** seldridge has joined #yosys16:52
*** rohitksingh has quit IRC16:59
ZipCPUWow.  Just made my third pull request to Yosys.  Guess I never thought of myself as nearly that capable.17:58
maikmertencongratulations!17:58
ZipCPUIt took a lot of searching through the spec.  I feel like I just spent the last  6 hrs spec searching to get it right.17:59
maikmertenat least there's a spec that one can spend 6 hrs with ;-)18:00
ZipCPULol18:03
ZipCPUIt was the Sys Verilog spec, dated 201218:03
*** rohitksingh has joined #yosys18:10
*** maikmerten has quit IRC18:29
*** rohitksingh has quit IRC18:41
*** seldridge has quit IRC18:45
*** seldridge has joined #yosys18:45
*** rohitksingh has joined #yosys18:58
*** seldridge has quit IRC19:07
*** rohitksingh has quit IRC19:20
*** bluesceada has quit IRC21:12

Generated by irclog2html.py 2.13.1 by Marius Gedminas - find it at mg.pov.lt!