Monday, 2018-07-16

*** tpb has joined #yosys00:00
*** emeb_mac has joined #yosys00:01
*** promach_ has joined #yosys00:17
*** Laksen has quit IRC01:18
*** m_t has quit IRC01:19
*** promach_ has quit IRC01:29
*** lutsabound has quit IRC02:22
*** seldridge has joined #yosys03:18
*** xa0 has quit IRC03:20
*** xa0 has joined #yosys03:22
*** emeb_mac has quit IRC04:02
*** emeb_mac has joined #yosys04:03
*** emeb_mac has quit IRC04:09
*** emeb_mac has joined #yosys04:09
*** seldridge has quit IRC04:18
*** emeb_mac has quit IRC04:18
*** emeb_mac has joined #yosys04:19
*** promach has quit IRC04:21
*** ChrisC_ has joined #yosys04:42
*** ChrisC_ has quit IRC04:47
*** emeb_mac has quit IRC05:02
*** emeb_mac has joined #yosys05:03
cr1901_modernIs there a feature freeze or something of yosys right now? Usually PRs I make are merged in a few days. These few have taken 20 and 10 days respectively05:17
cr1901_modernAnd I _still_ have a path bug to fix05:17
*** emeb_mac has quit IRC05:19
*** emeb_mac has joined #yosys05:31
*** promach has joined #yosys05:36
*** cr1901_modern has quit IRC06:09
*** cr1901_modern has joined #yosys06:10
*** jaafar has quit IRC06:14
*** digshadow has quit IRC06:23
*** proteus-guy has quit IRC06:28
*** digshadow has joined #yosys06:29
*** proteus-guy has joined #yosys06:46
*** dys has joined #yosys06:58
*** leviathan has joined #yosys07:04
*** dys has quit IRC07:32
*** proteus-guy has quit IRC08:52
*** leviathan has quit IRC08:58
*** proteus-guy has joined #yosys09:20
*** luismarques has joined #yosys09:46
*** keesj has quit IRC10:21
*** keesj has joined #yosys10:50
ZipCPUcr1901_modern: A path bug?11:39
*** jwhitmore has joined #yosys11:42
*** indy has quit IRC12:42
*** fsasm has joined #yosys12:52
*** jwhitmore has quit IRC13:33
*** emeb has joined #yosys13:41
*** indy has joined #yosys13:45
mattvennqu1j0t3: I've done some latency/jitter testing on a few keyboards13:47
mattvennusing a logic analyser on the USB and a relay to press the key. so no key travel taken into account13:47
mattvennyou can see some results and my sigrok setup here : https://github.com/Dygmalab/latency-testing13:48
tpbTitle: GitHub - Dygmalab/latency-testing (at github.com)13:48
*** micko has joined #yosys13:55
*** promach_ has joined #yosys13:57
*** indy has quit IRC14:03
*** seldridge has joined #yosys14:03
*** m_w has joined #yosys14:04
*** indy has joined #yosys14:57
*** maikmerten has joined #yosys15:52
maikmertenyay, my HX8K breakout board arrived. I find it funny that the FPGA device is still labeled "SiliconBlue", despite Lattice having bought them in... 2011?15:53
daveshahI suspect they just made one big batch of devboards ages ago15:54
maikmerten(manufacturing date seems to be 30th week of 2012...)15:54
maikmertenyeah, guess they just went the "big batch" route15:55
maikmertenanother board in my local hackerspace also has the SiliconBlue marking15:55
shaprnow I have to check my devboard when I get home15:57
maikmertenmouser still has hundreds available for immediate dispatch... wonder if Lattice outsourced the storage costs ;-)15:58
*** mjoldfie_ has quit IRC16:06
*** mjoldfield has joined #yosys16:06
*** mjoldfield has quit IRC16:12
*** mjoldfield has joined #yosys16:12
TD-Linuxyeah mine is also siliconblue16:18
maikmertenI guess we have pattern then :-)16:32
mithroApparently they where about to throw away a whole stack when the icestorm stuff hit16:40
maikmertenwould be interesting to get some data on how icestorm affected the adoption of those iCE FPGAs - but I guess there's none to be had :-(16:48
mithromaikmerten: Definitely not anything publically17:16
sorearI’m sure it helped sell devboards, but are those ever a meaningful fraction of volume?17:16
TD-Linuxtheir volumes are probably enormous, some iPhones have ice40s17:17
sorearWhat would be interesting to know is if that or any other major use was caused by icestorm17:18
sorearidk if the timing is possible in that case specifically17:18
mithrosorear: Never underestimate how frequently people end up "using what they know" -- Probably a large number of people now know that lattice *exists* compared to previously only ever considering Altera / Xilinx17:18
TD-Linuxyeah, I wouldn't be surprised if icestorm created more Diamond users as well :)17:20
sorearmithro: very true17:21
mithroAlthough after using icestorm and *then* using the proprietary tools, you might just never use FPGAs again :-P17:22
*** dxld has quit IRC17:22
sorear(is icestorm the only toolchain that supports macOS?)17:23
mithroI think so?17:23
*** dxld has joined #yosys17:23
*** promach_ has quit IRC17:24
sorearIt’ll be interesting to see what changes when the ecp5 stuff is ready17:33
maikmertenecp5... as in Cyclone V?17:35
maikmertenoh, no, that's Lattice, too17:35
maikmerten(I have a few Cyclone II boards called "ep2c5" and got side-tracked by that)17:36
sorearThere are a couple active projects but ecp5 seems closest17:38
maikmertenecp5 looks really decent17:40
maikmertenguess it comes from a completely different design family than iCE, which just got aquired17:41
sorearYes17:43
maikmertenhuh, on the HX8K eval board the 12 MHz oscillator is apparently not connected to a pin that can drive the PLL?!17:43
maikmertenfatal error: bad constraint on `clk_12mhz': no PLL at pin J317:43
* maikmerten is puzzled17:43
*** dys has joined #yosys17:44
*** jaafar has joined #yosys17:49
mjoldfieldmaikmerten: These are my blinky notes for the HX8K demo board http://mjoldfield.com/atelier/2018/02/ice40-blinky-hx8k-breakout.html17:51
tpbTitle: Martin’s Atelier: iCE40 Blinky on HX8K Breakout (at mjoldfield.com)17:51
maikmertenmjoldfield, thanks17:53
mjoldfieldmaikmerten: YMMV, I am a complete newbie with this stuff.17:54
maikmertenwe have something common then :-)17:54
maikmertenthat's always nice!17:54
maikmertenmjoldfield, thanks, that actually helped18:03
maikmertenhad to instantiate SB_PLL40_CORE18:03
maikmerten(instead of _PAD)18:03
mjoldfieldOh good18:03
daveshahsorear: we have a blinky for the ecp5 now built using a fully FOSS Verilog to bitstream flow, and ECP5 synthesis just got merged into Yosys today18:18
daveshahthe P&R still needs work and tidying up before its something that others could actually build/use but we're aiming for a release at the end of the month18:19
daveshahthis will still be very basic, probably just IO, logic and distributed RAM18:19
daveshahEBR, DSP, fancy IO features, etc will come over the next few months18:19
*** fsasm has quit IRC18:31
sorearOoh, thanks for the update18:33
sorearWill the EOM release also have ice40 vtr?18:34
daveshahyes, mithro is working on that18:35
mithroYes, at this very moment18:35
*** emeb has quit IRC18:35
mithroMaybe even some support for Artix-7 stuff in VtR if I can figure out this ice40 timing stuff which would allow me to get back to that18:36
*** emeb has joined #yosys18:49
*** eduardo_ has quit IRC19:22
*** eduardo_ has joined #yosys19:29
*** maikmerten has quit IRC19:52
*** xerpi has joined #yosys19:55
*** xerpi has quit IRC20:01
*** xerpi has joined #yosys20:01
*** sandeepkr has quit IRC20:12
*** sandeepkr has joined #yosys20:14
*** kuldeep has quit IRC20:43
*** sandeepkr has quit IRC20:43
*** pie___ has joined #yosys20:43
*** pie__ has quit IRC20:47
*** jwhitmore has joined #yosys20:49
*** X-Scale has quit IRC20:53
*** X-Scale has joined #yosys21:21
*** jwhitmore has quit IRC21:22
*** seldridge has quit IRC22:11
*** xerpi has quit IRC22:14
*** kuldeep has joined #yosys22:50
*** dys has quit IRC22:54

Generated by irclog2html.py 2.13.1 by Marius Gedminas - find it at mg.pov.lt!